色哟哟视频在线观看-色哟哟视频在线-色哟哟欧美15最新在线-色哟哟免费在线观看-国产l精品国产亚洲区在线观看-国产l精品国产亚洲区久久

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

ChatGPT在后端設計中有什么應用?

jf_tpHP8OJR ? 來源:集成電路設計及EDA教程 ? 2023-03-07 09:23 ? 次閱讀

ChatGPT在后端設計中有什么應用?ChatGPT懂后端EDA工具么?

本以為ChatGPT對于IC后端設計幫助應該不大,畢竟它非常依賴EDA工具,它對于EDA工具這種非常專業的、非常Custom的東西了解和訓練的數據應該不多,所以可能幫不上什么忙。

所以我在基本后端知識、EDA工具的使用方面問了ChatGPT,我們看一下它的回復,整體的結果還是有點超出我的想象的。

1、后端中的一些知識

42538534-bc7d-11ed-bfe3-dac502259ad0.png

從中可以看出工具給的回復還是有一些參考性的,從中我們能找到一些想要的結果。

2、ChatGPT對于EDA工具以及Flow的理解

從下面的回復中可以看出ChatGPT對于DC做綜合的流程還是有一個大概的了解的,且說的內容也都是大體正確的,某些命令可能有些問題(比如讀入時序約束文件一般用read_sdc而非read_file,設置周期也是在sdc里面創建clock或者generate clock,而非set_clock_period),其他的大問題基本上沒啥。

問:麻煩寫一個用Synopsys公司的design compiler軟件做邏輯綜合的教程

ChatGPT的回答:

好的,下面是一個使用Synopsys公司的Design Compiler軟件進行邏輯綜合的簡單教程。

步驟1:準備設計文件和約束文件

在使用Design Compiler進行邏輯綜合之前,必須準備好設計文件和約束文件。設計文件通常是Verilog或VHDL代碼,而約束文件包含時序和其他綜合約束。確保這些文件在同一個目錄下,并且已經準備好進行綜合。

步驟2:啟動Design Compiler

打開終端窗口,輸入dc_shell命令啟動Design Compiler。dc_shell是Design Compiler的主要交互式控制臺。在dc_shell控制臺中,可以輸入各種命令,例如編譯、優化、綜合等。

步驟3:設置工作目錄和綜合庫

在Design Compiler中,必須指定工作目錄和綜合庫。工作目錄是當前正在使用的目錄。使用cd命令更改工作目錄。綜合庫包含所有必要的庫文件,例如模擬模型、物理庫和邏輯庫。使用以下命令將綜合庫添加到Design Compiler中:

set target_library

其中,library_name是綜合庫名稱。可以使用list_libraries命令列出所有可用的庫。例如,使用以下命令列出所有庫:

list_libraries

步驟4:讀取設計文件和約束文件

使用以下命令在Design Compiler中讀取設計文件和約束文件:

read_file

read_file

其中,design_file_name是設計文件的名稱,constraint_file_name是約束文件的名稱。如果文件位于當前工作目錄中,則不需要輸入完整路徑。

步驟5:綜合設計

使用以下命令對設計進行邏輯綜合:

compile -map_effort high -area_effort high

其中,top_module_name是頂層模塊的名稱。map_effort和area_effort參數控制綜合的時間和面積的優化程度。通常,將它們設置為“high”可以獲得最佳的綜合結果。

步驟6:分析綜合結果

使用以下命令分析綜合結果:

report_area

report_timing

report_power

這些命令將分別顯示面積、時序和功耗報告。在綜合完成后,應該始終檢查這些報告,以確保綜合結果符合要求。

步驟7:保存綜合結果

使用以下命令將綜合結果保存為Verilog網表文件:

write_verilog

其中,netlist_file_name是要保存的網表文件名。

這是一個簡單的使用Synopsys公司的Design Compiler軟件進行邏輯綜合的教程。請注意,這只是一個基本的示例,并請注意,這只是一個基本的示例,并且Design Compiler擁有許多功能和選項,可以根據需要進行自定義和調整。在實際使用中,您可能需要更深入地了解Design Compiler的功能和選項,并調整綜合設置以獲得最佳結果。

以下是一些有用的Design Compiler命令和選項:

set_input_delay:設置輸入延遲

set_output_delay:設置輸出延遲

set_clock_period:設置時鐘周期

set_max_area:設置最大面積限制

set_max_fanout:設置最大負載限制

set_max_delay:設置最大延遲限制

set_dont_touch:設置不綜合的信號或模塊

此外,Design Compiler還提供了許多優化選項,例如綜合策略、綜合限制和優化級別等。根據實際需求進行適當調整可以獲得更好的綜合結果。

最后,請注意Design Compiler是一款商業軟件,需要購買許可證才能使用。此外,Design Compiler還有許多其他工具和插件,例如PrimeTime和Formality等,可以進行時序分析和形式驗證等操作。如果需要使用這些工具,請參閱Synopsys公司的官方文檔以獲取更多信息。

3、后端工具內的tcl腳本的編寫

426d7d5e-bc7d-11ed-bfe3-dac502259ad0.png428c90fe-bc7d-11ed-bfe3-dac502259ad0.png

ChatGPT給出的腳本:

# 定義一個字典變量用于存儲reference及其對應的instance個數
set ref_count_dict {}


# 獲取設計中所有cell的實例
set all_cells [get_cells -hier *]


# 遍歷所有cell實例
foreach cell $all_cells {
  # 獲取cell的reference名稱
  set ref_name [get_attribute REF_NAME $cell]
  # 如果該reference名稱不存在于字典變量中,初始化為0
  if {![info exists ref_count_dict($ref_name)]} {
    set ref_count_dict($ref_name) 0
  }
  # 對應reference名稱的instance個數加1
  set ref_count_dict($ref_name) [expr $ref_count_dict($ref_name) + 1]
}


# 按照instance個數從大到小排序輸出
puts "Reference	Count"
foreach {ref_name count} [lsort -integer -decreasing [array get ref_count_dict]] {
  puts "$ref_name	$count"
}

從中能看出幾個問題:

1、ChatGPT混用了字典和array,下面用的是array get,前面卻說的是字典dict。

2、另外在get_cells的時候需要把hier的Cell給濾掉,工具沒有濾掉。

3、對于get_cells得到的結果,應該用foreach_in_collection去循環而非foreach。

4、對于工具native的命令,ChatGPT可能會用錯,get_attr $cell ref_name才是正確的用法

5、在用lsort對ref list按照個數排序時,它沒有指定-stride和-index

下面是ChatGPT給出的tcl腳本和我修改后的版本的對比:

42bc2102-bc7d-11ed-bfe3-dac502259ad0.png

下面是我修改后的腳本:

# 不用定義字典,用array即可,且也不用定義,直接用
           


# 獲取設計中所有cell的實例
set all_cells [get_cells -hier * -filter "is_hierarchical==false"]


# 遍歷所有cell實例
foreach_in_collection cell $all_cells {
  # 獲取cell的reference名稱
  set ref_name [get_attribute $cell ref_name]
  # 如果該reference名稱不存在于字典變量中,初始化為0
  if {![info exists ref_count_dict($ref_name)]} {
    set ref_count_dict($ref_name) 0
  }
  # 對應reference名稱的instance個數加1
  set ref_count_dict($ref_name) [expr $ref_count_dict($ref_name) + 1]
}


# 按照instance個數從大到小排序輸出
puts "Reference	Count"
foreach {ref_name count} [lsort -stride 2 -index 1 -integer -decreasing [array get ref_count_dict]] {
  puts "$ref_name	$count"
}

我修改之后的腳本的執行結果:

42d5fe10-bc7d-11ed-bfe3-dac502259ad0.png

4、總結與展望

后邊我又試了其他幾個腳本編程的Case,ChatGPT給出的結果當然會有各種各樣的問題,畢竟關于工具native的命令ChatGPT沒有那么多Training的樣本,但是它給出的結果還是有點出乎我的以外的,具有一定的參考性的,整體的思路還是比較正確的。

發散一下,再過幾年,在用更多后端EDA工具的UG以及相關腳本對GPT訓練的話,那么ChatGPT可以做的事情肯定會非常非常多,前途不可限量?。。?!如果把ChatGPT嵌入到EDA工具中或者Solvnet/Cadence home上,那么對于Designer而言會有非常大的幫助。也許User只需要做一些簡單的描述,工具就能很快給出參考的腳本或者對用戶提出的問題給出參考的解決方案。






審核編輯:劉清

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • EDA工具
    +關注

    關注

    4

    文章

    268

    瀏覽量

    31883
  • Verilog語言
    +關注

    關注

    0

    文章

    113

    瀏覽量

    8286
  • VHDL源碼
    +關注

    關注

    0

    文章

    2

    瀏覽量

    1192
  • ChatGPT
    +關注

    關注

    29

    文章

    1566

    瀏覽量

    7917

原文標題:ChatGPT在后端設計中有什么應用?ChatGPT懂后端EDA工具么?

文章出處:【微信號:集成電路設計及EDA教程,微信公眾號:集成電路設計及EDA教程】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    【國產FPGA+OMAPL138開發板體驗】(原創)6.FPGA連接ChatGPT 4

    的復雜系統,然后將其映射到FPGA上運行。FPGA通常與CPU、GPU等并行處理單元一起工作,通過網絡接口與后端服務器通信。然而,如果編寫一個FPGA配置以模擬與ChatGPT4 API通信的過程
    發表于 02-14 21:58

    在FPGA設計中是否可以應用ChatGPT生成想要的程序呢

    當下AI人工智能崛起,很多開發領域都可看到ChatGPT的身影,FPGA設計中,是否也可以用ChatGPT輔助設計呢?
    發表于 03-28 23:41

    OpenAI 深夜拋出王炸 “ChatGPT- 4o”, “她” 來了

    當地時間5月13日OpenAI推出ChatGPT-4o,代表了人工智能向前邁出的一大步。在GPT-4turbo的強大基礎上,這種迭代擁有顯著的改進。在發布會的演示中,OpenAI展示該模型的高級
    發表于 05-27 15:43

    chatGPT幫我寫硬件代碼,是懂行的,好助手!#chatgpt #物聯網開發 #python開發板

    ChatGPT
    蘇州煜瑛微電子科技有限公司
    發布于 :2023年02月17日 15:27:42

    ChatGPT對話語音識別

    ChatGPT
    YS YYDS
    發布于 :2023年05月30日 22:13:10

    科技大廠競逐AIGC,中國的ChatGPT在哪?

    最近,AI(人工智能)圈一股以“ChatGPT”為核心的熱潮從美國“硅谷”涌入中國。 2022年11月底,初創公司OpenAI發布了名為ChatGPT的對話式聊天機器人模型,一經推出,便在網絡上
    發表于 03-03 14:28

    TIA電路在后端加濾波器的時候看到方波變形的情況怎么解決?

    大家好,我在TIA電路的時候,在后端加濾波器的時候看到方波變形的情況。 multisim仿真下的情況 設計的濾波器是1k為中心頻率,通帶是500hz,阻帶是10k,下圖是用TINA做的原理圖,仿真也是變形 是相位延時太高導致?
    發表于 11-15 08:28

    后端協同的時鐘樹設計方法

    提出一種新的高平衡、高可靠性的前端可控時鐘樹設計方法,解決時鐘樹需要在后端工具中多次反復以達到滿足性能和功耗要求的問題。闡述了從前端優化和后端約束2個方面入手解
    發表于 04-21 09:06 ?26次下載

    后端系統,后端系統是什么意思

    后端系統,后端系統是什么意思 “后端系統”從寬泛的角度上講是指向用戶提供數據的服務器、超級服務器、群集系統、中程系統以及
    發表于 04-06 17:21 ?3688次閱讀

    數字后端基本概念介紹

    今天要介紹的數字后端基本概念是boundary cell,也被稱為endcap Cell。Endcap是一種特殊的標準單元。在后端物理設計中,除了與,非,或等一些常見的標準單元外,還有一些特殊的物理單元(physical cell),它們通常沒有邏輯電路,
    的頭像 發表于 03-16 11:10 ?2.3w次閱讀
    數字<b class='flag-5'>后端</b>基本概念介紹

    virtIO中有兩種方式控制前后端的notify

    在vring_avail和vring_used的flags字段,控制前后端的通信。vring_used中的flags用于通知driver端,當add一個buffer的時候不用notify后端。而vring_avail中的flags用于通知qemu端,當消費一個buffer
    的頭像 發表于 07-06 15:18 ?4382次閱讀
    virtIO<b class='flag-5'>中有</b>兩種方式控制前<b class='flag-5'>后端</b>的notify

    后端的學前端有必要嗎?

    你好,主要想問你一些學習方式。我想從事后端方向,但是現在后端懂前端已經是很常見的了吧。所以我想有空也接觸一下前端?,F在不知道前端應該從哪里入手,看了星球的知識路線挺長的,感覺應該是為前端選手量身打造
    的頭像 發表于 05-05 16:52 ?874次閱讀

    ChatGPT原理 ChatGPT模型訓練 chatgpt注冊流程相關簡介

    ChatGPT注冊沒有外國手機號驗證怎么辦? ChatGPT作為近期火爆網絡的AI項目,受到了前所未有的關注。我們可以與AI機器人實時聊天,獲得問題的答案。但受ChatGPT服務器及相關政策
    的頭像 發表于 12-06 16:28 ?934次閱讀
    <b class='flag-5'>ChatGPT</b>原理 <b class='flag-5'>ChatGPT</b>模型訓練 <b class='flag-5'>chatgpt</b>注冊流程相關簡介

    模擬后端是什么意思

    模擬后端,在軟件開發和測試領域,通常是指使用工具或技術來模擬實際后端服務的行為。這樣做的主要目的是在項目開發過程中,當后端服務還未就緒或暫時無法訪問時,前端或其他依賴后端的系統能夠繼續
    的頭像 發表于 03-15 15:58 ?713次閱讀
    主站蜘蛛池模板: 久久综合视频网站| 王雨纯羞羞| 久久99亚洲AV无码四区碰碰| 护士被老头边摸边吃奶的视频| 花蝴蝶hd免费| 且试天下芒果免费观看| 手机看片成人| 在线 亚洲 日韩 欧洲视频| av在线色| 国产精品永久免费视频| 久久久久亚洲精品影视| 色综合久久中文色婷婷| 综合精品欧美日韩国产在线| 国产电影三级午夜a影院| 久久性生大片免费观看性| 双性将军粗壮H灌满怀孕| 跪趴式啪啪GIF动态图27报| 九九热在线视频| 在线观看黄色小说| 麻1豆传媒2021精品| 午夜噜噜噜私人影院在线播放| 最近2018年手机中文字幕| 领导边摸边吃奶边做爽在线观看| 色偷偷888欧美精品久久久| 福利视频久久| 欧美18在线| 野花香在线观看免费观看大全动漫 | 菠萝蜜国际一区麻豆| 韩日午夜在线资源一区二区| 亚洲精品乱码久久久久久中文字幕| h片下载地址| 久草色香蕉视频在线| 在线免费福利| 牛牛在线1视频| 亚洲视频网站欧美视频网站| 成人特级毛片| 欧美日韩视频一区二区三区| 夜色私人影院永久入口| 快穿女主有名器的H纯肉黄暴拉文| 97人人爽人人爽人人人片AV| 精品少妇高潮蜜臀涩涩AV|