色哟哟视频在线观看-色哟哟视频在线-色哟哟欧美15最新在线-色哟哟免费在线观看-国产l精品国产亚洲区在线观看-国产l精品国产亚洲区久久

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

PCB上走線的延遲約束

PCB線路板打樣 ? 來源:科學計算technomania ? 作者:貓叔 ? 2020-11-14 10:34 ? 次閱讀

作者:貓叔

延遲約束

對于延遲約束,相信很多同學是不怎么用的,主要可能就是不熟悉這個約束,也有的是嫌麻煩,因為有時還要計算PCB上的走線延遲導致的時間差。而且不加延遲約束,Vivado也只是在Timing Report中提示warning,并不會導致時序錯誤,這也會讓很多同學誤以為這個約束可有可無。

但其實這種想法是不對的,比如在很多ADC的設計中,輸出的時鐘的邊沿剛好是數據的中心位置,而如果我們不加延遲約束,則Vivado會默認時鐘和數據是對齊的。

對于輸入管腳,首先判斷捕獲時鐘是主時鐘還是衍生時鐘,如果是主時鐘,直接用set_input_delay即可,如果是衍生時鐘,要先創建虛擬時鐘,然后再設置delay。對于輸出管腳,判斷有沒有輸出隨路時鐘,若有,則直接使用set_output_delay,若沒有,則需要創建虛擬時鐘。

在本工程中,輸入輸出數據管腳的捕獲時鐘如下表所示:

根據上表,我們創建的延遲約束如下,其中的具體數字在實際工程中要根據上下游器件的時序關系(在各個器件手冊中可以找到)和PCB走線延遲來決定。未避免有些約束有歧義,我們把前面的所有約束也加進來。

# 主時鐘約束
create_clock -period 25.000 -name clk2 [get_ports clk_in2]

# 衍生時鐘約束
create_generated_clock -name clk_samp -source [get_pins clk_gen_i0/clk_core_i0/clk_tx] -divide_by 32 [get_pins clk_gen_i0/BUFHCE_clk_samp_i0/O]
create_generated_clock -name spi_clk -source [get_pins dac_spi_i0/out_ddr_flop_spi_clk_i0/ODDR_inst/C] -divide_by 1 -invert [get_ports spi_clk_pin]
create_generated_clock -name clk_tx -source [get_pins clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKIN1] [get_pins clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKOUT1]
create_generated_clock -name clk_rx -source [get_pins clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKIN1] [get_pins clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKOUT0]

# 設置異步時鐘
set_clock_groups -asynchronous -group [get_clocks clk_samp] -group [get_clocks clk2]

# 延遲約束
create_clock -period 6.000 -name virtual_clock
set_input_delay -clock [get_clocks -of_objects [get_ports clk_pin_p]] 0.000 [get_ports rxd_pin]
set_input_delay -clock [get_clocks -of_objects [get_ports clk_pin_p]] -min -0.500 [get_ports rxd_pin]
set_input_delay -clock virtual_clock -max 0.000 [get_ports lb_sel_pin]
set_input_delay -clock virtual_clock -min -0.500 [get_ports lb_sel_pin]
set_output_delay -clock virtual_clock -max 0.000 [get_ports {txd_pin {led_pins[*]}}]
set_output_delay -clock virtual_clock -min -0.500 [get_ports {txd_pin {led_pins[*]}}]
set_output_delay -clock spi_clk -max 1.000 [get_ports {spi_mosi_pin dac_cs_n_pin dac_clr_n_pin}]
set_output_delay -clock spi_clk -min -1.000 [get_ports {spi_mosi_pin dac_cs_n_pin dac_clr_n_pin}]

編輯:hfy

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • pcb
    pcb
    +關注

    關注

    4324

    文章

    23159

    瀏覽量

    399273
  • adc
    adc
    +關注

    關注

    99

    文章

    6533

    瀏覽量

    545449
收藏 人收藏

    評論

    相關推薦

    xilinx FPGA IOB約束使用以及注意事項

    采用了IOB約束,那么就可以保證從IO到達寄存器或者從寄存器到達IO之間的延遲最短,同時由于IO的位置是固定的,即存在于IO附近,所以每一次編譯都不會造成輸入或者輸出的時序發生改變
    的頭像 發表于 01-16 11:02 ?93次閱讀
    xilinx FPGA IOB<b class='flag-5'>約束</b>使用以及注意事項

    PCB與電磁兼容:如何巧妙平衡與協同

    PCB,本質是在電路板通過蝕刻銅箔形成的導線,負責在眾多電子元件之間精準無誤地傳導電流與信號。來與捷多邦小編一起了解
    的頭像 發表于 12-25 11:15 ?158次閱讀

    是否存在有關 PCB 電感的經驗法則?

    本文要點PCB具有電感和電容,這兩者共同決定了的阻抗。有時,了解
    的頭像 發表于 12-13 16:54 ?1330次閱讀
    是否存在有關 <b class='flag-5'>PCB</b> <b class='flag-5'>走</b><b class='flag-5'>線</b>電感的經驗法則?

    探索電路板pcb螺旋的特點

    PCB(Printed Circuit Board)螺旋是一種在 PCB 設計中常用的布線方式。它通過將導線以螺旋狀的形式布置在 PCB
    的頭像 發表于 08-06 17:28 ?469次閱讀

    請教,SIM卡PCB,這個CKL時鐘和數據DATA要等長嘛,一條頂層一條底層如圖這樣可以嘛

    請教,SIM卡PCB,這個CKL時鐘和數據DATA要等長嘛,一長條頂層一條底層如圖這樣
    發表于 08-03 22:49

    DDR5內存條的時鐘

    DDR5標準JESD79-5文件中沒有明確的控制阻抗建議,DDR4時代基本內存條時鐘阻抗還是跟著芯片、主板的70-80歐姆。線寬相對而言比較細。不知道你開始使用DDR5沒有,你有關注過DDR5內存條的時鐘
    的頭像 發表于 07-16 17:47 ?2059次閱讀
    DDR5內存條<b class='flag-5'>上</b>的時鐘<b class='flag-5'>走</b><b class='flag-5'>線</b>

    射頻PCB線規則簡析

    射頻(RF)PCB線規則是確保無線通信設備性能的關鍵因素之一。在高頻信號設計中,PCB不僅承載著電流,還對信號的完整性和質量有著顯著影
    的頭像 發表于 05-16 18:18 ?3310次閱讀

    pcb螺旋的優劣勢對比

    PCB螺旋是一種在Pcb電路板設計的螺旋型導線結構。
    的頭像 發表于 04-20 17:57 ?1231次閱讀

    什么是PCB線寬度?影響線寬度的關鍵因素有哪些

    PCB 的主要功能是將電流從一點傳輸到另一點。的寬度直接影響其在不超過溫度限制或導致過度壓降的情況下處理電流的能力。
    發表于 04-17 11:44 ?2028次閱讀
    什么是<b class='flag-5'>PCB</b><b class='flag-5'>走</b>線寬度?影響<b class='flag-5'>走</b>線寬度的關鍵因素有哪些

    pcb厚度:打造更穩定、精準的PCB設計

    PCB是將電路設計中的電氣信號通過導線連接到PCB而形成的電路。這些導線被稱為“
    的頭像 發表于 04-15 17:43 ?1397次閱讀

    如何對PCB進行差分對的操作呢?

    PCB設計中,差分對的操作是一項關鍵任務,它直接影響到信號的完整性和電路的性能。差分信號通常用于高速數字通信,因為它們能夠有效地抵抗電磁干擾和提供準確的時序信號。
    的頭像 發表于 04-10 16:34 ?2795次閱讀

    差分走的原理和作用 差分走是射頻的一種嗎

    差分走是一種在高速PCB設計中常用的信號傳輸方式,它與射頻有一定的關聯,但也有其獨特的特點和應用場景。
    的頭像 發表于 04-10 16:26 ?2449次閱讀

    PCB線寬度定義與計算方法詳解

    PCB 是放置在非導電或隔離基材的細導電銅線,用于將信號和電源傳輸到整個電路。銅具有特
    發表于 04-05 09:58 ?4031次閱讀
    <b class='flag-5'>PCB</b><b class='flag-5'>走</b>線寬度定義與計算方法詳解

    Altium Designer中模式的切換方法

    AD軟件提供了比較智能的模式切換功能,可以根據個人習慣進行切換,能有效的提高了PCB設計效率。
    發表于 03-28 09:37 ?1323次閱讀
    Altium Designer中<b class='flag-5'>走</b><b class='flag-5'>線</b>模式的切換方法

    電路板的蛇形是什么

    電路板的蛇形(也被稱為蛇行、蜿蜒或曲折布線)是PCB設計中一種常見的技術。這種方式在信
    的頭像 發表于 02-01 18:07 ?3152次閱讀
    主站蜘蛛池模板: qvod小电影 | 日韩在线av免费视久久 | 艳照门在线观看 | 亚洲国产成人精品久久久久 | 55夜色66夜亚洲精品播放 | c了瑜伽老师嗷嗷叫一节课视频 | 野花社区WWW韩国日本 | 亚洲视频黄 | 男女牲交全过程免费播放 | 国产午夜人成在线视频麻豆 | 亚洲欧美自拍明星换脸 | 亚洲 日韩 国产 中文视频 | 抽插H浊水H嫩B父皇 虫族bl文全肉高h | 伊人情涩网| 成人1000部免费观看视频 | 东北成人社区 | zooskoo1videos人与狗 | 天堂岛www天堂资源在线 | 国产成人在线免费 | 久久亚洲精品永久网站 | 国产区精品综合在线 | 国产精品亚洲AV色欲在线观看 | 久久亚洲AV成人无码动态图 | 国产麻豆福利AV在线观看 | 94色94色永久网站 | 甜性涩爱下载 | 十分钟在线观看免费视频高清WWW | 午夜精品久久久内射近拍高清 | 99久久亚洲综合精品 | vr亚洲成年网址在线观看 | 黄色三级在线观看 | 好色女博士 | 欧美video巨大粗暴18 | 精品国产福利一区二区在线 | 亚洲国产精品热久久 | 国产精品一国产精品免费 | 日韩欧美一区二区三区在线视频 | 午夜福利免费0948视频 | 男人私gay挠脚心vk视频 | 美女岔开腿露出粉嫩花苞 | 爆乳啪啪无码成人二区亚洲欧美 |