色哟哟视频在线观看-色哟哟视频在线-色哟哟欧美15最新在线-色哟哟免费在线观看-国产l精品国产亚洲区在线观看-国产l精品国产亚洲区久久

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

在Vivado中利用Report QoR Suggestions提升QoR

XILINX開發者社區 ? 來源:XILINX開發者社區 ? 2023-07-19 10:38 ? 次閱讀

簡介:

許多 FPGA 設計都難以達成所期望的性能目標。原因不盡相同,以下列出其中部分可能的原因:

未遵循 UltraFast 設計方法

時序約束不良

過高資源利用率

控制集過多

未采用最優化時鐘設置

邏輯層次過多,難以達成目標性能

布局規劃不良

布線擁塞

因約束導致工具優化受限

以下內容將講解如何輕松發現并快速修復這些問題。

Report QoR Suggestions

Report QoR Suggestions (RQS) 可識別設計問題,并提供工具開關和可影響工具行為的設計單元屬性的解決方案,即便在無法自動執行解決方案的情況下也可提供文本修改建議。

早在 Vivado 2019.1 中,RQS 就已經開始輸出建議對象文件。這使我們可以對建議進行跟蹤、自動完成其實現、改進每一項建議的驗證工作并提供更復雜的建議。在此過程中新的命令和一些流程修改應運而生,如下所述:

f1776a1c-255a-11ee-962d-dac502259ad0.png

“report_qor_suggestions”命令將生成新建議并提供現有建議的相關報告。如圖所示,此命令可在實現過程的任意階段完成后運行。

審核建議完成后,將使用“write_qor_suggestions”寫出一個包含所選建議的 RQS 文件。期間,建議的狀態將自動被設置為 ENABLED(大寫表示它屬于建議對象的屬性)。

通常下一步就是將此 RQS 文件應用到“建議運行 (Suggestion Run)”流程中,可以在 synth_design 或 opt_design 之前讀入。在此流程中,處于“自動 (AUTOMATIC)”狀態的建議經 APPLICABLE_FOR 階段后即可被應用。

要使 AUTOMATIC 建議狀態變更為 APPLIED,應在“建議運行”中調用 APPLICABLE_FOR 階段的同時將其設置為 ENABLED。下圖顯示了流經 APPLICABLE_FOR 階段的建議的處理過程:

f1bd80f6-255a-11ee-962d-dac502259ad0.png

在“建議運行”流程中,用戶可以再次調用“report_qor_suggestions”。這整個流程是可重復的,通過將來自前一輪運行的建議與當前輪次的建議累積起來即可組成單個文件并饋送到最新一輪的建議運行中。

如果有部分建議不符合您的期望,那么您可以使用以下命令來對寫入文件的建議加以過濾:

f1f7e5a2-255a-11ee-962d-dac502259ad0.png

如果在此流程中多次運行“report_qor_suggestions”,并在流程的不同階段生成相同的建議,那么 RQS 將自動對重復的建議進行管理。

出現的建議可能會重復。例如,通過運行綜合或“opt_design”建議可得到相同的結果。在此情況下,RQS 僅允許將其中一項建議設置為 APPLIED,并且傾向于采用綜合建議。

此外,編寫 checkpoint 時,建議的當前狀態將存儲在 checkpoint 中。因此,只要建議已被讀取,即可寫出 checkpoint,而重新打開 checkpoint 時,則無需重新讀取建議。

案例分析示例:

以下是針對此具體設計示例執行“place_design”之后出現的建議列表。

f20fd64e-255a-11ee-962d-dac502259ad0.png

建議名稱

首先請注意名稱。第一項建議的名稱 (NAME) 為 RQS_XDC-1-1。NAME 用于指示建議的類別。這項建議來自于 XDC 類別??偣灿?6 個類別:

利用率 (Utilization)

XDC

時鐘設置 (Clocking)

擁塞 (Congestion)

時序 (Timing)

策略 (Strategy)

根據經驗,影響利用率、XDC 和時鐘設置的建議應在設計周期內盡早解決,如下圖所示:

f2633032-255a-11ee-962d-dac502259ad0.png

這些建議通常會對大量路徑產生影響,并且還能降低設計收斂流程后期的擁塞和時序問題的嚴重程度。解決時序和擁塞問題的建議與解決時鐘設置、利用率和 XDC 問題的建議總是一并應用,無法拆分,但前兩類建議可能導致利用率增高,并且時鐘設置修復后可能就不再需要。

有鑒于此,通常在根據 AMD UltraFast 方法建議調整時序和 XDC 之前,不建議嘗試解決時序問題或擁塞問題。

時序和擁塞問題主要出現在特定模塊或特定時序路徑上。

擁塞僅出現在布局之后,并且在布線后準確性可有所提升。

通常僅在 RQS 發現時序路徑違例的路徑上才會報告時序建議。默認情況下,RQS 可在每個時鐘組中發現 100 條時鐘路徑。如果有的路徑有時序問題但未出現在這 100 條路徑中,那么 RQS 將不會提供有關這些路徑的建議。要增加路徑數量,請運行以下命令: report_qor_suggestions -max_paths <大于 100 的值>

自動建議

接下來請看上圖表中的最后一條建議 RQS_CLOCK-1-1。在該表格中可以看到這是一項 AUTOMATIC 建議。此建議將對 BUFG 驅動的網絡應用 CLOCK_DELAY_GROUP 屬性。

倒數第二條建議 RQS_CLOCK-2-1 為手動 (AUTOMATIC = 0) 建議。它建議更改時鐘設置拓撲結構,通過將 BUFGCE + MMCM 除法器更換為含內置除法器的 BUFGCE_DIV 來進一步優化此拓撲結構。Vivado 無法自動交換這些Buffer,因此需要用戶手動執行 RTL 編輯。

顧名思義,AUTOMATIC 建議簡單易用,而手動建議則更為復雜。以下顯示了自動建議和手動建議所需的不同方法。

自動

將屬性應用于對象

將開關應用于命令

對約束稍作修改

手動

需要執行 RTL 設計編輯

需要更新約束

需要更多用戶分析

總之,接近 80% 的建議為自動建議。鑒于手動建議所需工作量更大,因此可以考慮先跳過部分手動時鐘設置 (CLOCKING) 或利用率 (UTILIZATION) 建議,直接嘗試自動 (AUTOMATIC) 擁塞建議。但要實現最佳 QoR,必須先解決這些問題。

QoR 增益:

以下顯示的是 30 個設計使用如下條件后所得結果:

“place_design”Explore 指令

不含建議的“參考運行 (Reference Run)”與相同流程的“建議運行 (Suggestion Run)”對比結果:

“place_design”生成的時鐘設置建議

“route_design”生成的所有其他建議

僅對自動 (AUTOMATIC) 建議進行比較

QoR 增益通過兩種方式來測量:

通過觀察 WNS 的絕對提升量(易于理解的指標)。

觀察建議運行相比參考運行中所有失敗的時鐘的幾何平均增益(更可靠的 QoR 增益指標)。

以下示例來自于先前表格對應的設計:

f27b494c-255a-11ee-962d-dac502259ad0.png

藍色高度表示“參考運行”,橙色高度表示“建議運行”的新 WNS。可以看到,RQS 對設計的 WNS 的提升效果顯著。全部 30 項設計的平均 WNS 增益達 0.648 ns。

f29430ec-255a-11ee-962d-dac502259ad0.png

此圖顯示了一種更為完善的測量措施。它通過觀察所有運行失敗的時鐘來計算幾何平均數的提升百分比 (%)。此方法可以平滑掉單一時鐘出現重大錯誤蓋過其他多個時鐘出現時序設置故障的數值。

這些設計中的幾何平均值的平均增益為 12.1%。

當然其中有特別突出的增益。在排名前 4 的設計中,QoR 平均提升 34.7%。

通過對增益進行分析可以發現:

存在對少量路徑產生重大影響的單一特定問題時,QoR 增益超過 20%。解決此類問題易如反掌。

解決時鐘設置問題時,QoR 增益超過 10%。

解決通常接近設計收斂周期末尾的個別時序路徑中的問題所得到的增益較少。

簡單問題全部解決后,再要繼續提升增益就不那么容易了。這段解析展示了 RQS 在整個設計周期內產生的影響,應在完成設計中的重大修改后再運行。

除了此處展示的數字之外,并沒有其他簡單方法可用來測量手動建議所實現的增益,因此執行手動修改后,用戶所能實現的 QoR 增益甚至可能超過此處所示的數字。

審核編輯:湯梓紅

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1630

    文章

    21796

    瀏覽量

    605178
  • FPGA設計
    +關注

    關注

    9

    文章

    428

    瀏覽量

    26581
  • REPORT
    +關注

    關注

    0

    文章

    11

    瀏覽量

    9840
  • 時序約束
    +關注

    關注

    1

    文章

    115

    瀏覽量

    13435
  • Vivado
    +關注

    關注

    19

    文章

    815

    瀏覽量

    66792

原文標題:開發者分享|在 Vivado 中利用 Report QoR Suggestions 提升 QoR

文章出處:【微信號:gh_2d1c7e2d540e,微信公眾號:XILINX開發者社區】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    如何在實現流程中將RQA與RQS結合使用的設計示例

    通過之前的博文,我們已經學會了如何使用 Report QoR Assessment (RQA) 和 Report QoR Suggestions
    的頭像 發表于 09-29 11:11 ?4061次閱讀
    如何在實現流程中將RQA與RQS結合使用的設計示例

    【設計技巧】FPGA設計,時序就是全部

    最終的時序收斂綜合、擺放和路由之后能夠報告總體的時序信息。例如,Synplify軟件允許你使用TCL命令(report_timing)報告設計的具體部分。為了進一步提高時序QoR,我們建議你關聯綜合之后和P&R之后的時序結果,
    發表于 08-11 08:30

    【資料】FPGA原廠不會告訴你的秘密

    `驗證是所有廠家流程中最薄弱的環節,仿真中找到的錯誤63%都能在編碼的時候糾正?。ń棠闳绾吻捌趥刹榻Y構問題、提升QoR)`
    發表于 03-31 11:15

    FPGA設計時序就是全部

    的時序收斂綜合、擺放和路由之后能夠報告總體的時序信息。例如,Synplify軟件允許你使用TCL命令(report_timing)報告設計的具體部分。為了進一步提高時序QoR,我們建議你關聯綜合之后
    發表于 05-18 15:55

    Vivado的靜態時序分析工具Timing Report的使用與規范

    《XDC約束技巧》系列討論了XDC約束的設置方法、約束思路和一些容易混淆的地方。我們提到過約束是為了設計服務,寫入Vivado的XDC實際上就是用戶設定的目標 ,Vivado對FP
    發表于 11-17 18:03 ?3.7w次閱讀
    <b class='flag-5'>Vivado</b><b class='flag-5'>中</b>的靜態時序分析工具Timing <b class='flag-5'>Report</b>的使用與規范

    TclVivado的基礎應用

    Vivado使用技巧的最大障礙,以至于兩年多后的今天,仍有很多用戶缺乏升級到Vivado的信心。本文介紹了TclVivado的基礎應用,
    發表于 11-18 03:52 ?4966次閱讀
    Tcl<b class='flag-5'>在</b><b class='flag-5'>Vivado</b><b class='flag-5'>中</b>的基礎應用

    Vivado 2018.3 report_qor_suggestions怎么用

    Constraint Set里(Vivado支持.tcl文件作為約束文件,添加時將文件類型切換為.tcl即可,如圖6所示)。
    的頭像 發表于 01-15 16:48 ?5788次閱讀
    <b class='flag-5'>Vivado</b> 2018.3 <b class='flag-5'>report_qor_suggestions</b>怎么用

    Report QoR Suggestions助力解決Vivado設計問題

    Report QoR Suggestions (RQS) 可識別設計問題,并提供工具開關和可影響工具行為的設計單元屬性的解決方案,即便在無法自動執行解決方案的情況下也可提供文本修改建議。
    的頭像 發表于 01-24 17:27 ?3051次閱讀
    <b class='flag-5'>Report</b> <b class='flag-5'>QoR</b> <b class='flag-5'>Suggestions</b>助力解決<b class='flag-5'>Vivado</b>設計問題

    解決方法論問題可提升實現的一致性

    您還可在 Report QOR Assessment 查看 Report Methodology 的精簡版本。RQA 報告將詳列影響 QoR
    的頭像 發表于 06-28 10:47 ?2380次閱讀
    解決方法論問題可<b class='flag-5'>提升</b>實現的一致性

    FPGA設計:關于Report QoR Assessment 命令的使用

    Report QoR Assessment (RQA) 用于詳述您的設計 QoR 目標實現的可能性。如果此命令返回的結果與您的期望不符,那么本篇博文包含了有關您可采取的后續行動的附加信息。本篇博文
    的頭像 發表于 09-29 13:49 ?3690次閱讀
     FPGA設計:關于<b class='flag-5'>Report</b> <b class='flag-5'>QoR</b> Assessment 命令的使用

    賽靈思推出首個基于機器學習優化算法 FPGA EDA 工具套件

    Vivado HLx 版本相比,Vivado ML 版將復雜設計的編譯時間縮短了 5 倍,同時還提供了突破性的平均達 10% 的結果質量( QoR提升。 賽靈思軟件與 AI 解
    的頭像 發表于 06-24 11:42 ?2085次閱讀

    賽靈思Vivado ML版優化應用設計

    Vivado HLx 版本相比,Vivado ML 版將復雜設計的編譯時間縮短了 5 倍,同時還提供了突破性的平均達 10% 的結果質量( QoR提升
    的頭像 發表于 07-02 16:40 ?2804次閱讀
    賽靈思<b class='flag-5'>Vivado</b> ML版優化應用設計

    不同操作系統間QoR性能存在差異

    本篇博文中的分析是根據真實客戶問題撰寫的,該客戶發現不同操作系統間 QoR 性能存在差異。雖然可以理解賽靈思無法保證不同操作系統間的可重復性,正如"賽靈思答復記錄 61599"中所述,但此處案例中出現的差異量級值得進一步深入調查。
    的頭像 發表于 08-02 11:52 ?1159次閱讀
    不同操作系統間<b class='flag-5'>QoR</b>性能存在差異

    AMD XILINX全新推出了Vivado ML Editions 2022.2版

    智能設計運行 (IDR) 是基本無需用戶干預的一鍵式時序收斂流程。 2022.2 版,我們首次為 Versal 器件提供 IDR 增強功能。使用 IDR 后,我們看到 Versal ACAP 設計的 QoR 平均提高 5%
    的頭像 發表于 11-03 11:29 ?3598次閱讀

    AMD Vivado Design Suite 2024.1全新推出

    AMD Vivado Design Suite 2024.1 可立即下載。最新版本支持全新 AMD MicroBlaze V 軟核處理器,并針對 QoR 和 Dynamic Function
    的頭像 發表于 09-18 09:41 ?539次閱讀
    主站蜘蛛池模板: 日本久久久免费高清 | 绿巨人www| 伊人角狠狠狠狠 | xxxjapanese丰满奶水 | 精品AV综合导航 | 国产高清视频在线观看97 | 快播官方网站 | 果冻传媒2021精品在线观看 | 日韩 亚洲 欧美 中文 高清 | 国产成人精品视频播放 | 久久综合伊人 magnet | 亚洲在线国产日韩欧美 | 最新色导航 | 999视频精品全部免费观看 | xx69美国| 欧美一区二区三区免费播放 | 国产AV高清怡春院 | 色婷婷五月综合久久中文字幕 | 久久久精品国产免费A片胖妇女 | 国产成人精品永久免费视频 | 99久久久无码国产精精品 | 午夜成a人片在线观看 | 又黄又爽又无遮挡在线观看免费 | 午夜免费福利片 | 国产乱色伦影片在线观看 | 东北老妇xxxxhd| 日本高清无吗 | 久久AV无码AV高潮AV不卡 | 欧美白妞大战非洲大炮 | 中文字幕不卡免费高清视频 | 老熟女毛茸茸浓毛 | 奇米网一区二区三区在线观看 | 日本在线免费 | 伦理片在线3348 | 好看的电影网站亚洲一区 | 精品视频一区二区三三区四区 | 又长又大又粗又硬3p免费视频 | 久久亚洲网站 | 亚洲精品国产高清嫩草影院 | 人人碰国产免费线观看 | 国产精品白浆精子流水合集 |