色哟哟视频在线观看-色哟哟视频在线-色哟哟欧美15最新在线-色哟哟免费在线观看-国产l精品国产亚洲区在线观看-国产l精品国产亚洲区久久

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Verilog中的基本數據類型

CHANBAEK ? 來源:FPGA入門到精通 ? 作者:未可知摩爾 ? 2023-05-12 17:43 ? 次閱讀

本文將討論 verilog 中常用的數據類型,包括對數據表示、線網類型、變量類型和數組,分享一下使用方法和注意事項。

一、Verilog 中的數值表示

編寫 verilog代碼 時,經常需要在代碼中表示數據值,可以將這些數據表示為2進制、8進制、10進制或16進制值。

特別是verilog中需要定義數據的位寬,因為verilog 本質上是在描述硬件電路。

語法:


說明:

  • bits:位寬,可省略,則默認是32bit
  • representation:進制, b或B表示2進制,o或O表示8進制,d或D表示10進制,h或H表示16進制,可省略,則默認是十進制?
  • value :具體數值

示例:

8'b1000_1000;    //2進制表示
4'o10;      //8進制表示
4'd8;       //10進制表示
4'h8;       //十六進制表示

每一個bit位不同值表示:

二、Verilog 中的基本數據類型

verilog 中的基本數據類型可以分為兩大類:線網類型(net)和變量類型(variable )

net類型用來對數字電路中的連接關系建模,無法存儲數值,表示數據驅動路線。

variable類型用來對寄存器或觸發器建模,可以存儲數據。

1、Verilog 中的net類型

用來描述設計中不同組件之間的物理連接,net類型本身不能用于存儲數據或驅動數據。

通常使用連續賦值(continuous assignment)語句來將數據驅動到線型(wire)上,如assign 語句。

verilog 中最常用的net類型就是wire類型。 使用wire類型來聲明設計中基本的點對點連接信號,顧名思義,它們大致相當于傳統電路中的電線(wire英文原意)。

wire a;          //聲明一個1位寬wire類型信號a
 
assign a = c;    //使用assign關鍵字來賦值
assign b = d;    //使用assign關鍵字來賦值

2、Verilog 中的變量類型

verilog 中使用變量類型來存儲數據,可以一直保持這個值直到被再次賦值。

verilog中最常用的變量類型是reg類型,用于always語句塊內,如下面的代碼片段所示,實現了一個D觸發器。

reg q;
//D觸發器
always @(posedge clock)
  q <= d;
end

雖然reg 類型常被用來建模觸發器,但在某些情況下,reg 類型也可用于在 verilog 中對組合邏輯進行建模。

reg q;
always @(*)
  q = d;
end

verilog 中最常用的數值數據類型是integer類型。 但是,integer類型通常用于模塊中的內部信號而不是端口

注意:integer默認是32bit的有符號數。

integer a = 255;    //聲明一個值為255的integer類型變量a

3、Verilog 中的有符號(Signed)和無符號數據(Unsigned)

Verilog-2001 標準引入了signed 和 unsigned關鍵字,在Verilog-2001標準發布之前,所有net類型和variable類型都只能用于存儲無符號(Unsigned)數據類型。

默認情況下,integer類型是有符號的,而 reg 和 wire 類型都是無符號的。 如果希望修改此默認行為,則需要使用這些關鍵字(signed 和 unsigned),在 verilog 代碼中將變量類型聲明為signed時,會是補碼。

示例:

//聲明無符號reg變量a、有符號reg變量b
reg [31:0] a;
reg signed [31:0] b;
 
//聲明無符號wire變量a、有符號wire變量b
wire [31:0] a;
wire signed [31:0] b;
 
//聲明無符號integer變量a、有符號integer變量b
integer unsigned a;
integer b;

4、Verilog 中的數組(Arrays )

在 verilog 中可以創建和使用Arrays(數組)類型,在FPGA中實際是使用LUT或BRAM來實現的。

(1)一維數組

語法:


說明:

  • type表示數組元素的類型
  • size表示數據元素的位寬大小
  • variable_name表示數組名稱
  • elements是表示數組的大小

示例:

//定義一個共有8個元素的數組example,每個元素的位寬為3位
wire [2:0] example [7:0];

可以使用帶有數組下標的方括號來訪問數組中的單個元素,如:

//將5賦值給數組example中的最后一個元素
assign example[7] = 3'h5;

(2)多維數組

在Verilog-1995標準中,只能創建一維數組。 verilog 2001 標準則可以創建多于一維的數組。 為此,只需添加另一個字段來定義需要的元素數量。

語法:


說明:

  • type表示數組元素的類型
  • size表示數據元素的位寬大小
  • variable_name表示數組名稱
  • elements0是表示數組第一層的大小
  • elements1表示數組第二層的大小

示例:

wire [3:0] example2d [7:0][1:0];
//賦值操作
assign example2d [7][1] = 4'ha;
assign example2d [7][0] = 4'ha;

verilog數組還是挺好用的,可以用于簡化寫代碼的邏輯,適用于循環復制的模塊或代碼。

genvar i;
wire [1:0] data_in [0:4];
wire [1:0] data_out [0:4];

generate
for(i = 0; i < 5; i = i + 1) begin: module_test
     mod_test u_mod_test(
        .clk(clk),
        .reset(reset),
        .data_in(data_in[i]),
        .data_out(data_out[i])
     );
end
endgenerate

integer j;
reg  [2:0] shift_add_index [0:8];

always@(posedge clk) begin
     shift_add_index[0] <= 'd2;
end

always@(posedge clk) begin
     for(j = 1; j < 9; j = j+1) begin
         shift_add_index[j] <= shift_add_index[j-1] + j;
     end
end
聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 寄存器
    +關注

    關注

    31

    文章

    5363

    瀏覽量

    120933
  • Verilog
    +關注

    關注

    28

    文章

    1351

    瀏覽量

    110258
  • 數值
    +關注

    關注

    0

    文章

    80

    瀏覽量

    14385
  • 觸發器
    +關注

    關注

    14

    文章

    2003

    瀏覽量

    61286
  • 數據類型
    +關注

    關注

    0

    文章

    236

    瀏覽量

    13649
收藏 人收藏

    評論

    相關推薦

    C語言中的基本數據類型

    C語言是非常重要的一門程序設計語言,學好C語言再去學習其他編程語言將變得很輕松,因為大部分語言的都有相同的共性存在。本節我們來講解一下C語言中的基本數據類型,掌握如何使用變量以及變量在編程的作用及重要性,學會區分變量的存儲類型
    發表于 04-26 17:33 ?2088次閱讀
    C語言中的基<b class='flag-5'>本數據類型</b>

    C語言中基本數據類型、變量和常量的使用

    C語言中基本數據類型、變量和常量的使用
    發表于 08-18 16:42 ?2906次閱讀
    C語言中基<b class='flag-5'>本數據類型</b>、變量和常量的使用

    技術干貨驛站 ▏深入理解C語言:基本數據類型和變量

    在C語言中,數據類型和變量是編程的基礎,也是理解更復雜概念的關鍵。數據類型決定了變量的內存分配、存儲范圍和操作方式,而變量則是存儲數據的容器。本篇文章將從基本數據類型和變量兩個方面,帶
    的頭像 發表于 07-26 17:53 ?2246次閱讀
    技術干貨驛站 ▏深入理解C語言:基<b class='flag-5'>本數據類型</b>和變量

    Java基本數據類型之間的運算規則是什么?

    Java基本數據類型Java變量的使用說明Java基本數據類型之間的運算規則
    發表于 11-04 09:59

    C語言的基本數據類型包括哪幾種

    C語言的基本數據類型包括哪幾種?分別是什么?
    發表于 10-14 12:27

    淺析C51的基本數據類型和擴充數據類型

    本數據類型:擴充數據類型
    發表于 11-22 06:05

    C預處理與C語言基本數據類型

    指令表:注意:宏名的書寫由標識符與兩邊各兩條下劃線構成。C語言基本數據類型不同操作系統數據類型所占字節數圖解數據類型的其他分類:變量常量(字面量和const常量)void(特殊
    發表于 12-21 08:29

    Java的基本數據類型與條件結構

    《Java基礎入門》第二篇1 基本數據類型,運算符與表達式,條件結構,循環結構...
    發表于 12-23 08:02

    單片機C語言中常用的基本數據類型分為哪幾類

    不管在什么語言中,定義一個變量時必然要在內存開辟一個相應大小的空間來存儲該變量。不同的數據類型在內存所占的空間大小不同,其所能表示的數據范圍也不相同。在單片機C語言中,常用的基本數據類型
    發表于 02-28 06:46

    51單片機學習筆記(9)——C51的基本數據類型和擴充數據類型

    本數據類型:擴充數據類型
    發表于 11-14 13:36 ?1次下載
    51單片機學習筆記(9)——C51的基<b class='flag-5'>本數據類型</b>和擴充<b class='flag-5'>數據類型</b>

    Struct結構數據類型

    Struct類型是一種由多個不同數據類型元素組成的數據結構,其元素可以是基本數據類型,也可以是Struct、數組等復雜數據類型以及PLC
    的頭像 發表于 07-25 17:02 ?3064次閱讀

    C語言-基本數據類型與位運算

    這篇文章作為基礎知識點,總結C語言的基本數據類型有哪些,浮點數的精度,整數變量的空間范圍,變量定義語法,變量命名規則,浮點數打印格式,基本數據類型printf對應的打印、位運算的知識點。
    的頭像 發表于 08-14 09:56 ?1621次閱讀

    Verilog最常用的2種數據類型

    Verilog 最常用的 2 種數據類型就是線網(wire)與寄存器(reg),其余類型可以理解為這兩種數據類型的擴展或輔助。
    的頭像 發表于 05-29 16:27 ?2415次閱讀
    <b class='flag-5'>Verilog</b>最常用的2種<b class='flag-5'>數據類型</b>

    本數據類型分享

    本數據類型本數據類型:包括位、位序列、整數、浮點數、日期時間。此外字符也屬于基本數據類型,請參見文檔String與WString。 1.位和位序列 2.整數數據類型 3.浮點型實
    的頭像 發表于 06-13 14:14 ?1w次閱讀
    基<b class='flag-5'>本數據類型</b>分享

    javascript的基本數據類型有哪些

    JavaScript 是一種動態的、面向對象的編程語言,廣泛應用于 Web 開發。在 JavaScript ,有七種基本數據類型(Primitive Types),它們分別是 Undefined
    的頭像 發表于 12-03 11:17 ?809次閱讀
    主站蜘蛛池模板: 欧洲最大无人区免费高清完整版 | xxxx69动漫| 高hh乱亲女真实 | 国产剧情在线精品视频不卡 | 99久久免费精品国产 | 啪啪做羞羞事小黄文 | 亚洲乱亚洲乱妇在线观看 | 中文字幕乱码一区久久麻豆樱花 | 暖暖视频免费观看高清完整版 | 干极品美女 | 国产精品AV色欲蜜臀在线 | 大香伊蕉在人线国产最新 | 国产久久精品热99看 | 共妻肉多荤文高h一女n男 | 亚洲高清无在码在线电影 | 麻豆国产人妻精品无码AV | 另类专区hy777 | 中文人妻熟妇精品乱又伧 | 亚洲国产成人私人影院 | 毛片一区二区三区 | 久久精品视频在线直播6 | 2020最新国产自产精品 | 无套内射在线观看THEPORN | 哺乳期妇女挤奶水36d | 中文字幕午夜乱理片 | 九九热精品在线 | 羞羞漫画免费漫画页面在线看漫画秋蝉 | 亚洲绝美精品一区二区 | 热九九99香蕉精品品 | 区产品乱码芒果精品P站在线 | 玩弄人妻少妇500系列网址 | 国产偷国产偷亚洲高清人乐享 | 欧美97色伦影院在线观看 | 免费鲁丝片一级在线观看 | xxxxxx视频 | 一个人在线观看免费高清视频在线观看 | 久久久无码精品亚洲欧美 | 天天躁日日躁狠狠躁AV麻豆 | 亚洲精品一本之道高清乱码 | 在线播放国产视频 | 亚洲熟妇无码乱子AV电影 |