您編寫的代碼是不是雖然在仿真器中表現正常,但是在現場卻斷斷續續出錯?要不然就是有可能在您使用更高版本的工具鏈進行編譯時,它開始出錯。您檢查自己的測試平臺,并確認測試已經做到100%的完全覆蓋,而且所有測試均未出現任何差錯,但是問題仍然頑疾難除。
雖然設計人員極其重視編碼和仿真,但是他們對芯片在FGPA中的內部操作卻知之甚少,這是情有可原的。因此,不正確的邏輯綜合和時序問題(而非邏輯錯誤)成為大多數邏輯故障的根源。
但是,只要設計人員措施得當,就能輕松編寫出能夠創建可預測、可靠邏輯的FPGA代碼。
在FPGA設計過程中,需要在編譯階段進行邏輯綜合與相關時序收斂。而包括I/O單元結構、異步邏輯和時序約束等眾多方面,都會對編譯進程產生巨大影響,致使其每一輪都會在工具鏈中產生不同的結果。為了更好、更快地完成時序收斂,我們來進一步探討如何消除這些差異。
I/O 單元結構
所有FPGA都具有可實現高度定制的I/O引腳。定制會影響到時序、驅動強度、終端以及許多其它方面。如果您未明確定義I/O單元結構,則您的工具鏈往往會采用您預期或者不希望采用的默認結構。如下VHDL代碼的目的是采用“sda: inout std_logic;”聲明創建一個稱為 sda 的雙向I/O緩沖器。
tri_state_proc : PROCESS (sys_clk)BEGINif rising_edge(sys_clk) thenif (enable_in = '1') thensda <= data_in;elsedata_out <= sda;sda <= 'Z';end if;end if;END PROCESS tri_state_proc;
當綜合工具發現這組代碼時,其中缺乏如何實施雙向緩沖器的明確指示。因此,工具會做出最合理的猜測。
實現上述任務的一種方法是, 在FPGA的I/O環上采用雙向緩沖器(事實上,這是一種理想的實施方式)。另一種選擇是采用三態輸出緩沖器和輸入緩沖器,二者都在查詢表 (LUT) 邏輯中實施。最后一種可行方法是,在I/O環上采用三態輸出緩沖器,同時在LUT中采用輸入緩沖器,這是大多數綜合器選用的方法。
這三種方法都可以生成有效邏輯,但是后兩種實施方式會在I/O引腳與LUT之間傳輸信號時產生更長的路由延遲。此外,它們還需要附加的時序約束,以確保時序收斂。FPGA編輯器清晰表明:在圖1中,我們的雙向I/O有一部分散布在I/O緩沖器之外。
教訓是切記不要讓綜合工具猜測如何實施代碼的關鍵部分。即使綜合后的邏輯碰巧達到您的預期,在綜合工具進入新版本時情況也有可能發生改變。
應當明確定義您的I/O邏輯和所有關鍵邏輯。以下VHDL代碼顯示了如何采用Xilinx? IOBUF原語對I/O緩沖器進行隱含定義。另外需要注意的是,采用相似方式明確定義緩沖器的所有電氣特性。
sda_buff: IOBUFg e n e r i c m a p ( I O S TANDARD =>"LVCMOS25",IFD_DELAY_VALUE => "0", DRIVE =>12,SLEW => "SLOW")port map(o=> data_out, io=> sda,i=> data_in, t=> enable_in);
在圖2中,FPGA編輯器明確顯示,我們已完全在I/O緩沖器內部實施了雙向I/O。
異步邏輯的劣勢異步代碼會產生難以約束、仿真及調試的邏輯。異步邏輯往往產生間歇性錯誤,而且這些錯誤幾乎無法重現。另外,無法生成用于檢測異步邏輯所導致的錯誤的測試平臺。
雖然異步邏輯看起來可能容易檢測,但是,事實上它經常不經檢測;因此,設計人員必須小心異步邏輯在設計中隱藏的許多方面。所有鐘控邏輯都需要一個最短建立與保持時間,而且這一點同樣適用于觸發器的復位輸入。以下代碼采用異步復位。在此無法為了滿足觸發器的建立與保持時間需求而應用時序約束。
data_proc : PROCESS (sys_clk,reset)BEGINif (reset = '1') thendata_in <= '0';elsif rising_edge(sys_clk) thendata_in <= serial_in;end if;END PROCESS data_proc;
下列代碼采用同步復位。但是,大多數系統的復位信號都可能是按鍵開關,或是與系統時鐘無關的其它信號源。盡管復位信號大部分情況是靜態的,而且長期處于斷言或解除斷言狀態,不過其水平仍然會有所變化。相當于系統時鐘上升沿,復位解除斷言可以違反觸發器的建立時間要求,而對此無法約束。
data_proc : PROCESS (sys_clk)BEGINif rising_edge(sys_clk) thenif (reset = '1') thendata_in <= '0';elsedata_in <= serial_in;end if;end if;END PROCESS data_proc;
只要我們明白無法直接將異步信號饋送到我們的同步邏輯中,就很容易解決這個問題。以下代碼創建一個稱sys_reset 的新復位信號,其已經與我們的系統時鐘sys_clk同步化。在異步邏輯采樣時會產生亞穩定性問題。我們可以采用與階梯的前幾級進行了‘與’運算的梯形采樣降低此問題的發生幾率。
data_proc : PROCESS (sys_clk)BEGINif rising_edge(sys_clk) thenreset_1 <= reset;reset_2 <= reset_1 and reset;sys_reset <= reset_2 and reset_1and reset;end if;if rising_edge(sys_clk) thenif (sys_reset = '1') thendata_in <= '0';elsedata_in <= serial_in;end if;end if;END PROCESS data_proc;
至此,假定您已經慎重實現了所有邏輯的同步化。不過,如果您不小心,則您的邏輯很容易與系統時鐘脫節。切勿讓您的工具鏈使用系統時鐘所用的本地布線資源。那樣做的話您就無法約束自己的邏輯。切記要明確定義所有的重要邏輯。
以下VHDL代碼采用賽靈思 BUFG原語強制sys_clk進入驅動低延遲網絡(low-skew net) 的專用高扇出緩沖器。
gclk1: BUFG port map (I => sys_clk,O=> sys_clk_bufg);data_proc : PROCESS (sys_clk_bufg)BEGINif rising_edge(sys_clk_bufg) thenreset_1 <= reset;reset_2 <= reset_1 and reset;sys_reset <= reset_2 and reset_1and reset;end if;if rising_edge(sys_clk_bufg) thenif (sys_reset = '1') thendata_in <= '0';elsedata_in <= serial_in;end if;end if;END PROCESS data_proc;
某些設計采用單個主時鐘的分割版本來處理反序列化數據。以下VHDL代碼(nibble_proc進程)舉例說明了按系統時鐘頻率的四分之一采集的數據。
data_proc : PROCESS (sys_clk_bufg)BEGINif rising_edge(sys_clk_bufg) thenreset_1 <= reset;reset_2 <= reset_1 and reset;sys_reset <= reset_2 and reset_1and reset;end if;if rising_edge(sys_clk_bufg) thenif (sys_reset = '1') thentwo_bit_counter <= "00";divide_by_4 <= '0';nibble_wide_data <= "0000";elsetwo_bit_counter<= two_bit_counter + 1;divide_by_4 <= two_bit_counter(0) andtwo_bit_counter(1);nibble_wide_data(0)<= serial_in;nibble_wide_data(1)<= nibble_wide_data(0);nibble_wide_data(2)<= nibble_wide_data(1);nibble_wide_data(3)<= nibble_wide_data(2);end if;end if;END PROCESS data_proc;nibble_proc : PROCESS (divide_by_4)BEGINif rising_edge(divide_by_4) thenif (sys_reset = '1') thennibble_data_in <= "0000";elsenibble_data_in<= nibble_wide_data;end if;end if;END PROCESS nibble_proc;
看起來好像一切都已經同步化,但是nibble_proc采用乘積項divide_by_4對來自時鐘域sys_clk_bufg的 nibble_wide_data進行采樣。由于路由延遲,divde_by_4與sys_clk_bufg之間并無明確的相位關系。將divide_by_4轉移到BUFG也于事無補,因為此進程會產生路由延遲。解決方法是將nibble_proc保持在sys_clk_bufg域,并且采用divide_by_4作為限定符,如下所示。
nibble_proc : PROCESS (sys_clk_bufg)BEGINif rising_edge(sys_clk_bufg) thenif (sys_reset = '1') thennibble_data_in <= "0000";elsif (divide_by_4 = '1') thennibble_data_in<= nibble_wide_data;end if;end if;END PROCESS nibble_proc
時序約束的重要性
如果您希望自己的邏輯正確運行,則必須采用正確的時序約束。如果您已經慎重確保代碼全部同步且注冊了全部I/O,則這些步驟可以顯著簡化時序收斂。在采用上述代碼并且假定系統時鐘為100MHz時,則只需四行代碼就可以輕松完成時序約束文件,如下所示:
NET sys_clk_bufg TNM_NET =sys_clk_bufg;TIMESPEC TS_sys_clk_bufg = PERIODsys_clk_bufg 10 ns HIGH 50%;OFFSET = IN 6 ns BEFORE sys_clk;OFFSET = OUT 6 ns AFTER sys_clk;
請注意:賽靈思FPGA中I/O注冊邏輯的建立與保持時間具有很高的固定性,在一個封裝中切勿有太大更改。但是,我們仍然采用它們,主要用作可確保設計符合其系統參數的驗證步驟。
三步簡單操作
僅需遵循以下三步簡單操作,設計人員即可輕松實施可靠的代碼。
? 切勿讓綜合工具猜測您的預期。采用賽靈思原語對所有 I/O 引腳和關鍵邏輯進行明確定義。確保定義 I/O 引腳的電氣特性;? 確保邏輯 100% 同步,并且讓所有邏輯參考主時鐘域;? 應用時序約束確保時序收斂。
只要遵循上述三個步驟,您就能夠消除綜合與時序導致的差異。掃除這兩個主要障礙會讓您獲得具有100%可靠性的代碼。
編寫具有100%可靠性代碼的幾個技巧
- FPGA(591969)
- 賽靈思(130433)
相關推薦
可靠性與失效分析
和電子輔料等可靠性應用場景方面具有專業的檢測、分析和試驗能力,可為各研究院所、高校、企業提供產品的可靠性檢測、失效分析、老化測試等一體化服務。本中心目前擁有各類可靠性檢測分析儀器,其中包括
2018-06-04 16:13:50
可靠性工程技術簡介
定取決于對產品進行FMEA的總體要求和產品的實際情況。 3. 建立功能框圖。建立各模塊的功能框圖,并對各方框的功能給予標記代碼,以便進行分析。 4. 建立可靠性框圖。根據功能框圖建立相應的可靠性框圖,以便
2011-11-24 16:28:03
可靠性是什么?
可靠性是什么?充實一下這方面的知識 產品、系統在規定的條件下,規定的時間內,完成規定功能的能力稱為可靠性。 這里的產品可以泛指任何系統、設備和元器件。產品可靠性定義的要素是三個“規定”:“規定
2015-08-04 11:04:27
可靠性檢技術及可靠性檢驗職業資格取證
中國電子電器可靠性工程協會 關于舉辦“可靠性檢技術及可靠性檢驗職業資格取證”培訓班的通知各有關單位: 根據《中華人民共和國勞動法》勞動和社會保障部《招用技術工種
2010-08-27 08:25:03
可靠性匯編
電子可靠性資料匯編內容: 降額設計規范;電子工藝設計規范;電氣設備安全通用要求設計規范 ;嵌入式
2010-10-04 22:31:56
可靠性管理概要
管理的特點以上管理活動的五項內容是互相、互相滲透、相輔相成的。可靠性管理在五項活動內容中具有的特點是,更突出了它的綜合性、時間性和統計性。(1)綜合性:它不是單純從一個方面來衡量產品的優劣,而是從整體上
2009-05-24 16:49:57
可靠性設計分析系統
可靠性是我們在開展電子產品設計過程中常常繞不開的問題。例如,客戶需要我們提供相關的可靠性預計報告,客戶需要我們的產品提供相應的可靠性試驗報告,或者企業內部需要控制產品質量,制定了一系列的可靠性工作
2017-12-08 10:47:19
可靠性驗證
當組件上板后進行一系列的可靠性驗證,可靠性驗證過程中產品失效時,透過板階整合失效分析能快速將失效接口找出,宜特協助客戶厘清真因后能快速改版重新驗證來達到產品通過驗證并如期上市。 透過板階整合失效分析
2018-08-28 16:32:38
GaN可靠性的測試
作者:Sandeep Bahl 最近,一位客戶問我關于氮化鎵(GaN)可靠性的問題:“JEDEC(電子設備工程聯合委員會)似乎沒把應用條件納入到開關電源的范疇。我們將在最終產品里使用的任何GaN器件
2018-09-10 14:48:19
LED驅動電源可靠性設計的研究
000 h.所以LED 燈照明裝置能否應用推廣的關鍵環節之一是其驅動電源問題,筆者就提高大功率( 100 ~ 200 W) 驅動電源的可靠性從如下兩大方面進行了探討。 1 防水及降溫處理 大功率LED
2019-06-01 15:47:32
LabVIEW開發的測試環境要如何驗證自身的可靠性呢
LabVIEW開發的測試環境要如何驗證自身的可靠性呢?這個環境是用來測試汽車儀表用的,可是不能保證環境自身的可靠性,那么測試的結果也就沒有意義了。請高人指點下~!!
2017-09-26 08:07:49
SiC-MOSFET的可靠性
半導體的測試方法,其可靠性試驗結果如下。從結果可以看出,ROHM的SiC-MOSFET具有足夠的可靠性。關鍵要點:?ROHM的SiC-MOSFET與已經普及的Si-MOSFET具有相同的可靠性。
2018-11-30 11:30:41
[原創]可靠性
電子可靠性資料匯編內容: 降額設計規范;電子工藝設計規范;電氣設備安全通用要求設計規范 ;嵌入式
2010-10-04 22:34:14
《電路可靠性案例征文》大賽
《電路可靠性案例征文》大賽主辦方:硬件十萬個為什么信號完整性 電子發燒友論文形式:不限參加方式:文檔發送到微信號elecfans008評選標準:案例由《硬件十萬個為什么》《信號完整性》《電子發燒友
2016-09-03 15:35:31
【可靠性分析第一步】構造可靠性模型
的,其位置不能變動,而系統的可靠性框圖是根據各組成部分的故障對系統的影響來構成的,其位置在何處是沒有關系的。 1、 串聯系統 串聯結構的系統是由幾個功能器件(部件)組成,其中任何一個器件(部件
2016-09-03 15:47:58
【PCB】什么是高可靠性?
專業學術組織——可靠性技術組。1950年12月,美國成立了“電子設備可靠性專門委員會”,軍方、武器制造公司及學術界開始介入可靠性研究,到1952年3月便提出了具有深遠影響的建議;研究成果首先應用于航天
2020-07-03 11:09:11
【技術貼】關于5個9可靠性的疑惑
:不建議直接將可靠性預計值直接代入計算可用性,有條件的情況下,為了更接近現場,通常使用加速測試方案卡方分布單側置信得出的MTBF值進行計算。說來也巧,在解答了上面的疑問后看到了“可靠性幾個9
2018-03-05 13:10:23
為什么華秋要做高可靠性?
及品控規范,剖析可靠性的關鍵影響因素,定位可靠性的關鍵管控流程,建立可靠性定向提升體系,用行動踐行承諾,全方位保障PCB的高可靠性。1)企業愿景:創造一家具有高度社會責任感的企業立壯志以成就客戶!“華
2020-07-08 17:10:00
什么是高可靠性?
1952年3月便提出了具有深遠影響的建議;研究成果首先應用于航天、軍事、電子等軍工工業,隨后逐漸擴展到民用工業。60年代,隨著航空航天工業的迅速發展,可靠性設計和試驗方法被接受和應用于航空電子系統中
2020-07-03 11:18:02
單片機應用系統的可靠性與可靠性設計
現代電子系統的可靠性現代電子系統具有如下特點:嵌入式的計算機系統.智能化的體系結構;以計算機為核心的柔性硬件基礎,由軟件實現系統的功能;硬件系統有微電子技術的有力支持。單片機應用系統是當前最典型、最廣
2021-01-11 09:34:49
單片機應用系統的可靠性特點
可靠性設計是單片機應甩系統設計必不可少的設計內容。本文從現代電子系統的可靠性出發,詳細論述了單片機應用系統的可靠性特點。提出了芯片選擇、電源設計、PCB制作、噪聲失敏控制、程序失控回復等集合硬件系統
2021-02-05 07:57:48
基于FPGA的時統模塊可靠性該怎么設計?
高可靠性永遠是計算機系統中必不可少的重要需求,尤其是對于整個系統中用來產生統一時間信號的專用設備來說,其可靠性和精準性非常重要。時統模塊的功能就是保證整個系統處在統一時間的基準上,它接收時統站發來
2019-08-26 06:27:46
基于集成電路的高可靠性電源設計
高可靠性系統設計包括使用容錯設計方法和選擇適合的組件,以滿足預期環境條件并符合標準要求。本文專門探討實現高可靠性電源的半導體解決方案,這類電源提供冗余、電路保護和遠程系統管理。本文將突出顯示,半導體技術的改進和新的安全功能怎樣簡化了設計,并提高了組件的可靠性。
2019-07-25 07:28:32
如何利用FPGA新特性提升汽車系統高可靠性?
目前,汽車中使用的復雜電子系統越來越多,而汽車系統的任何故障都會置乘客于險境,這就要求設計出具有“高度可靠性”的系統。同時,由于FPGA能夠集成和實現復雜的功能,因而系統設計人員往往傾向于在這些系統中采用FPGA。
2019-09-27 07:45:33
如何實現高可靠性電源的半導體解決方案
高可靠性系統設計包括使用容錯設計方法和選擇適合的組件,以滿足預期環境條件并符合標準要求。本文專門探討實現高可靠性電源的半導體解決方案,這類電源提供冗余、電路保護和遠程系統管理。本文將突出顯示,半導體技術的改進和新的安全功能怎樣簡化了設計,并提高了組件的可靠性。
2021-03-18 07:49:20
如何才能獲取高可靠性的印制板?
本文擬從印制板下游用戶安裝后質量、直接用戶調試質量和產品使用質量三方面研究印制板的可靠性,從而表征出印制板加工質量的優劣并提供生產高可靠性印制板的基本途徑。
2021-04-21 06:38:19
如何提高航空插頭的可靠性?
:航空插頭的可靠性主要是固有可靠性和使用可靠性這兩個方面。航空插頭的固有可靠性主要是指電連接器制造完成時所具有的可靠性,主要受電連接器的設計、工藝、制造、治理和原材料性能等諸多因素決定。電連接器在制作
2017-08-01 17:14:15
射頻連接器可靠性如何提高
1 引言射頻連接器的可靠性問題是整機或系統使用單非常關心和重視的問題。這是因為射頻連接器作為一種元件應用在整機或系統中,它的可靠性直接影響或決定著整機或系統的可靠性。射頻連接器的可靠性與其結構設計
2019-07-10 08:04:30
嵌入式系統產品的可靠性
通常來講,在復雜的處理器選擇中,最初的工程評估主要集中在性能和成本方面。然而,工業設備制造商的可靠性工程師看重的則是一整套不同的產品規格;這些規格主要側重于避免并管理這些錯誤。對于諸如航空航天、軍事
2018-08-30 14:43:15
開關電源設計的可靠性研究
波形呈矩形,其上升沿與下降沿包含大量的諧波成分,另外輸出整流管的反向恢復也會產生電磁干擾(EMI),這是影響可靠性的不利因素,這使得系統具有電磁兼容性成為重要問題。其產生電磁干擾有三個必要條件:干擾源
2018-09-25 18:10:52
開發高可靠性嵌入式系統的技巧有哪些?
盡管許多嵌入式工程師充滿了希望和夢想,但高可靠性的代碼不是一蹴而就的。它是一個艱苦的過程,需要開發人員維護和管理系統的每個比特和字節。當一個應用程序被確認為“成功”的那一刻,通常會有一種如釋重負
2019-09-29 08:10:15
提高PCB設備可靠性的幾個方法?
金百澤技術團隊總結了提高PCB設備可靠性的技術措施:方案選擇、電路設計、電路板設計、結構設計、元器件選用、制作工藝等多方面著手,具體措施如下: (1)簡化方案設計。方案設計時,在確保設備滿足技術
2014-10-20 15:09:29
提高PCB設備可靠性的具體措施
提高PCB設備可靠性的技術措施:方案選擇、電路設計、電路板設計、結構設計、元器件選用、制作工藝等多方面著手,具體措施如下: (1)簡化方案設計。方案設計時,在確保設備滿足技術、性能指標的前提下,應盡
2018-09-21 14:49:10
提高開關電源可靠性的技巧
上升沿與下降沿包含大量的諧波成分,另外輸出整流管的反向恢復也會產生電磁干擾 (EMI),這是影響可靠性的不利因素,這使得系統具有電磁兼容性成為重要問題。其產生電磁干擾有三個必要條件:干擾源、傳輸介質
2018-10-09 14:11:30
提高電源可靠性設計的建議
電子產品的質量是技術性和可靠性兩方面的綜合。電源作為一個電子系統中重要的部件,其可靠性決定了整個系統的可靠性,開關電源由于體積小,效率高而在各個領域得到廣泛應用,如何提高它的可靠性是電力電子技術
2018-10-09 14:37:18
機電產品的可靠性探討
隨著科學技術的迅速發展,機電產品在國防、工業、農業、商業、科研和民用等方面的應用種類越來越多,而且都離不開電源技術和其它技術的應用。如果在其應用中忽略了可靠性管理,機電產品的質量也不會得到保證
2011-03-10 14:32:20
淺析無線通信產品的各個階段可靠性預計與實現
0、引言電子產品的可靠性預計一直是困擾各個無線通信公司的難題之一,目前比較通用的可靠性預計方法是由貝爾實驗室在2001年推出的Bellcore-SR332方法。該方法的不足之處在于它僅根據產品
2019-06-19 08:24:45
淺談手機環境可靠性試驗
手機環境可靠性試驗的目的 產品可靠性是設計和制造出來的,但必須通過試驗予以驗證。在手機的研制階段,為了保證手機具有一定的可靠性水平或提高手機的可靠性,要通過可靠性增長試驗暴露手機的缺陷,進而進行分析
2009-11-13 22:31:55
環境試驗與可靠性試驗的區別
深圳市華耀檢測技術服務有限公司環境試驗與可靠性試驗雖然關系緊密,但它們在試驗目的,所用環境應力數量,環境力量值選用準則,試驗類型,試驗時間,試驗終止判據方面存在截然的不同之處。試驗目的:環境試驗考察
2022-01-13 14:03:37
電子產品可靠性試驗的目的和方法
進行評價,并通過質量反饋來提高新產品可靠性水平。3. 篩選試驗是一種對產品進行全數檢驗的非破壞性試驗。其目的是為選擇具有一定特性的產品或剔早期失效的產品,以提高產品的使用可靠性。產品在制造過程中,由于
2015-08-04 17:34:26
電源可靠性的設計經驗分享
影響電源可靠性的因素。1、電壓應力電源電壓應力是保證電源可靠性的一個重要指標。在電源中有許多器件都有規定最大耐壓值,比如:場效應管的Vds和Vgs、二極管的反向耐壓、IC的最大VCC電壓以及輸入輸出電容
2016-06-08 15:51:22
電源可靠性設計影響因素
影響電源可靠性的因素。 1、電壓應力 電源電壓應力是保證電源可靠性的一個重要指標。在電源中有許多器件都有規定最大耐壓值,比如:場效應管的Vds和Vgs、二極管的反向耐壓、IC的最大VCC電壓以及
2018-10-09 10:49:22
電源可靠性設計的幾個建議
,脈沖波形呈矩形,其上升沿與下降沿包含大量的諧波成分,另外輸出整流管的反向恢復也會產生電磁干擾(EMI),這是影響可靠性的不利因素,這使得系統具有電磁兼容性成為重要問題。 產生電磁干擾有三個必要條件:干擾
2019-02-21 07:14:11
電路可靠性設計與元器件選型
足夠強勢,不必再由我添加壓垮駱駝的那最后一根稻草;②產品的可靠性水平和研發的強勢程度成反比;③電路設計錯誤和器件應用不當占了故障的八成因素。舉幾個簡單例子:一個電解電容緊挨著散熱片焊接的,與電解電容
2009-12-04 14:32:45
電路可靠性設計與元器件選型
送給了研發弟兄們幾個總結性觀點:①在公司里,研發隊伍已經足夠強勢,不必再由我添加壓垮駱駝的那最后一根稻草;②產品的可靠性水平和研發的強勢程度成反比;③電路設計錯誤和器件應用不當占了故障的八成因素。舉
2009-12-18 16:29:17
電路可靠性設計與元器件選型
隊伍已經足夠強勢,不必再由我添加壓垮駱駝的那最后一根稻草;②產品的可靠性水平和研發的強勢程度成反比;③電路設計錯誤和器件應用不當占了故障的八成因素。舉幾個簡單例子:一個電解電容緊挨著散熱片焊接
2010-04-26 22:05:30
電路可靠性設計與元器件選型
隊伍已經足夠強勢,不必再由我添加壓垮駱駝的那最后一根稻草;②產品的可靠性水平和研發的強勢程度成反比;③電路設計錯誤和器件應用不當占了故障的八成因素。舉幾個簡單例子:一個電解電容緊挨著散熱片焊接
2010-04-26 22:20:16
硬件電路的可靠性
我想問一下高速電路設計,是不是只要做好電源完整性分析和信號完整性分析,就可以保證系統的穩定了。要想達到高的可靠性,要做好哪些工作啊?在網上找了好久,也沒有找到關于硬件可靠性的書籍。有經驗的望給點提示。
2015-10-23 14:47:17
硬件設計說明中的可靠性設計包含哪些?
急求前輩指點!硬件設計說明中的可靠性設計一般包含哪些?現在需要整理項目的一些文檔,關于可靠性設計要提供哪些文檔一頭霧水,求前輩指點一下!不勝感激!
2016-04-22 11:11:09
能提供AEC-Q100可靠性報告嗎?
你好,CY7C1021CV33-10ZSXA AEC-Q100認證嗎?如果是的話,你能提供我們的AEC-Q100可靠性報告嗎?CY7C1021CV33-10ZSXA(AEC-Q100)。γ射線
2018-10-26 15:57:09
請問PCBA可靠性測試有什么標準可循嗎?
剛剛接觸PCBA可靠性,感覺和IC可靠性差異蠻大,也沒有找到相應的測試標準。請問大佬們在做PCBA可靠性時是怎么做的,測試條件是根據什么設定?
2023-02-15 10:21:14
請問硬件設計說明中的可靠性設計包含什么?
急求幫助 硬件設計說明中的可靠性設計包含哪些?現在需要整理項目的一些文檔,關于可靠性設計要提供哪些文檔一頭霧水,求前輩指點一下!不勝感激!
2020-04-08 03:04:58
評論
查看更多