色哟哟视频在线观看-色哟哟视频在线-色哟哟欧美15最新在线-色哟哟免费在线观看-国产l精品国产亚洲区在线观看-国产l精品国产亚洲区久久

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

請問create_generated_clock該怎么使用呢?

FPGA技術驛站 ? 來源:FPGA技術驛站 ? 2024-01-25 09:06 ? 次閱讀

FPGA設計中,生成時鐘分為兩大類:自動生成時鐘和用戶生成時鐘。前者是指由CMB(Clock Modifying Blocks,例如MMCM、PLL、BUFR 、BUFGCE_DIV、PHASER_x等)生成的時鐘;后者是指用戶通過組合/時序邏輯生成的時鐘。

在某些場景下,對于生成時鐘需要借助create_generated_clock創建。這里我們討論一下create_generated_clock的典型應用場景。首先給出create_generated_clock的基本參數,如下圖所示。生成時鐘都有一個與之伴隨的主時鐘(MasterClock)。這個主時鐘可以是全局時鐘管腳進來的時鐘(也就是設計的PrimaryClock),也可以是其他生成時鐘。可通過選項-master_clock指定。

52ef0aec-bb16-11ee-8b88-92fbcf53809c.png

場景1:重命名自動生成時鐘

對于自動生成時鐘(又稱自動衍生時鐘),只要創建了主時鐘,工具會自動創建生成時鐘。因此,如果設計中只存在“全局時鐘管腳->MMCM/PLL->BUFG”這種情形,而且MMCM/PLL是通過Clocking Wizard IP生成的,那么用戶就不用通過create_clock創建時鐘,因為IP會自動創建。例如,對于下圖所示設計,IP會自帶約束。在這種情況下,打開綜合后的設計,執行report_clocks命令,可以看到自動生成的時鐘。

52f6be7c-bb16-11ee-8b88-92fbcf53809c.png5302f552-bb16-11ee-8b88-92fbcf53809c.png530fa784-bb16-11ee-8b88-92fbcf53809c.png

如上圖所示,自動生成時鐘的名字之所以如此,是因為我們在調用IP時對輸出端口進行了重命名,如下圖所示。

531b43a0-bb16-11ee-8b88-92fbcf53809c.png

另一方面,如果我們沒有對端口重命名,而希望生成時鐘名字是期望的名字,以便于閱讀分析以及后續約束的引用,這時就可以通過create_generated_clock命令進行重命名。例如:要將下圖中的MMCM輸出端口CLKOUT0輸出時鐘命名為clk200,這時需要通過選項-name給時鐘命名,同時指定時鐘的端口,這里通過get_pins獲取。

53385da0-bb16-11ee-8b88-92fbcf53809c.png534d4102-bb16-11ee-8b88-92fbcf53809c.png






審核編輯:劉清

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA設計
    +關注

    關注

    9

    文章

    428

    瀏覽量

    26553
  • PLL電路
    +關注

    關注

    0

    文章

    92

    瀏覽量

    6431

原文標題:create_generated_clock該怎么使用?

文章出處:【微信號:Lauren_FPGA,微信公眾號:FPGA技術驛站】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    FPGA中時鐘的用法

    FPGA中的BUFGCE_DIV/BUFG_GT以及Versal中的MBUFG/BUFG_GT等。對于這類時鐘,Vivado會自動創建時鐘,并不需要用戶手工通過create_generated_clock創建。
    的頭像 發表于 01-11 09:50 ?1960次閱讀
    FPGA中時鐘的用法

    對邊沿對齊源同步輸入端口的約束

    -name input_clock -period 10 [get_ports clk_in] #PLL時鐘,為保證建立時間和保持時間,相移90度create_generated_clock -name
    發表于 12-25 14:28

    時序約束之時鐘約束

    、變占空比 create_clock -name clkin -period 10[get_ports clkin]create_generated_clock -name clkdiv2
    發表于 09-21 11:51

    CLOCK作為外部輸出控制Pin的整體延遲?

    , using create_generated_clock, but more importantly I can control the delay from the MMCM
    發表于 10-30 18:04

    create_generate_clock無法找到正確的引腳

    create_generated_clock -name dut_clk -source [get_ports InClk] -divide_by 6 [get_pins u_clk_div / CLK_OUT]我已經通過
    發表于 11-05 11:32

    Xilinx工具vivado使用約束命令時出現警告的解決辦法?

    (TX_CLK_o)。我想使用下面的約束命令來設置時鐘轉發,但我在合成時發現了警告。警告是什么意思?// constraints命令create_generated_clock -name TX_CLK_o
    發表于 05-04 08:04

    請問如何約束作為輸入時鐘復制的時鐘?

    的問題是如何約束這個TDM_SCKx4輸出時鐘。我試過這個:create_generated_clock -name TDM_SCKx4 -source [get_pins
    發表于 08-18 10:16

    子模塊的generated clock是否需要和其它子模塊進行balance?

    一種情況,這十個子模塊里面有些模塊是不需要和其它子模塊交互數據的,那么子模塊的 generated clock 就不需要和其它子模塊進行 balance?
    發表于 06-23 14:28

    靜態時序分析

    10ns,占空比(duty_cycle)為50%(默認值),clock definition point 是UPLL0的CLKOUT pin )create_generated_clock -name
    發表于 04-20 16:17

    今日說“法”:TimeQuest約束外設之詭異的Create Generated Clocks

    create_generated_clock -name {clk_div_r} -source [get_ports {sysclk}] -divide_by 2 -master_clock {sysclk
    發表于 05-06 16:24

    FPGA案例之衍生時鐘約束

    create_generated_clock -name clk_samp -source [get_pins clk_gen_i0/clk_core_i0/clk_tx] -divide_by 32 [get_pins
    的頭像 發表于 11-17 16:28 ?2345次閱讀
    FPGA案例之衍生時鐘約束

    Vivado的XDC設置輸出延時問題

    時,參考時鐘選擇相移的那個,發現不起作用,沒有路徑。 如果選擇系統時鐘,分析后是系統時鐘的最大最小延時,沒有相位移動后的信息,這是什么問題? 伴隨時鐘創建的Create_generated_clock中的Set_output_delay如下: Txc1 是鎖相環移動相位后直接送到輸出管腳,Rxc1是驅動數據的。 數
    的頭像 發表于 06-09 17:28 ?4345次閱讀
    Vivado的XDC設置輸出延時問題

    時序分析的設計約束SDC怎么寫

    使用SDC命令create_clock創建時鐘,時鐘周期20,占空比50%的時鐘信號
    的頭像 發表于 06-18 09:42 ?4517次閱讀
    時序分析的設計約束SDC怎么寫<b class='flag-5'>呢</b>?

    SDC是如何煉成的?create_generated_clock花式定義方法

    從最早的芯片規格定義分解出系統所需要的時鐘和頻率,以及各個模塊需要的時鐘和頻率。
    的頭像 發表于 06-27 15:00 ?8127次閱讀
    SDC是如何煉成的?<b class='flag-5'>create_generated_clock</b>花式定義方法

    探討下clock的基本定義(上)

    Clock分為兩大類,一類是root clock,其定義指令是create_clock;另外一類是generated clock,其定義指令
    的頭像 發表于 07-06 15:31 ?2456次閱讀
    探討下<b class='flag-5'>clock</b>的基本定義(上)
    主站蜘蛛池模板: 把腿张开JI巴CAO死你H教室| 国产短视频精品区| 国产在线aaa片一区二区99| 鸭子玩富婆流白浆视频| 极品内射少妇精品无码视频| 51国产午夜精品免费视频| 日本二区三区欧美亚洲国| 国产成人精品免费视频大全可播放的 | 亚洲人视频在线| 美女视频黄色的| 俄罗斯女肥臀大屁BBW| 亚洲无人区码二码三码区别图| 蜜臀AV浪潮99国产麻豆| 公开超碰在线视频| 亚洲无遮挡无码A片在线| 欧洲精品不卡1卡2卡三卡四卡| 国产精品久久久久久亚洲影视| 伊人激情综合网| 肉动漫无码无删减在线观看 | 国产乱人精品视频AV麻豆| 野花韩国中文版免费观看| 青青草国产精品| 精品久久伦理中文字幕| 边摸边吃奶玩乳尖视频| 一本之道高清www在线观看| 日本VA在线视频播放| 久草热8精品视频在线观看| 成人bt下载| 最近免费中文字幕完整版HD| 少妇伦子伦精品无码| 老师系列高H文| 国产婷婷午夜精品无码A片| 无码骚夜夜精品| 欧美日韩在线亚洲一| 精品久久日日躁夜夜躁AV| 嘟嘟嘟影院免费观看视频| 最近中文字幕完整版高清| 性夜影院午夜看片| 欧美一区二区视频在线观看| 茎身铃口调教| 工口肉肉彩色不遮挡|