色哟哟视频在线观看-色哟哟视频在线-色哟哟欧美15最新在线-色哟哟免费在线观看-国产l精品国产亚洲区在线观看-国产l精品国产亚洲区久久

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

通過靜態時序分析驗證設計的正確性

sally100 ? 來源:數字ICer ? 作者:數字ICer ? 2022-11-28 15:26 ? 次閱讀

靜態時序分析介紹

傳統的電路設計分析方法是僅僅采用動態仿真的方法來驗證設計的正確性。隨著集成電路的發展,這一驗證方法就成為了大規模復雜的設計驗證時的瓶頸。

相對于動態仿真方法,靜態時序分析方法要快很多,而且它能夠驗證所有的門級電路設計的時序關系。

靜態時序分析最大的特點是不需要加入輸入測試向量,每一個時序路徑都自動被檢測到。

靜態時序分析工具主要對設計電路中以下路徑進行分析:

從原始輸入端到設計電路中的所有觸發器;

從觸發器到觸發器;

從觸發器到設計電路的原始輸出端口

從設計電路的原始輸入端口到原始輸出端口。

時序路徑(Timing Path)

路徑1:從設計電路的原始輸入端口A到觸發器的數據端口D。

路徑2:從觸發器的CLK端到觸發器的數據輸入端口D。

路徑3:從觸發器的CLK端到設計電路的原始輸出端口Z。

路徑4:從設計電路的原始輸入端口A到設計電路的原始輸出端口Z。

觸發器的建立時間(Setup Time):

指的是時鐘信號變化之前數據保持不變的時間

觸發器的保持時間(Hold Time):

指的是時鐘信號變化之后數據保持不變的時間

時間裕量(Slack)

Slack是指信號在時序路徑上要求的時間和實際花費的時間之差。

時鐘偏斜(Clock Skew)

時鐘偏斜是指從時鐘定義點到不同觸發器時鐘引腳的延時差。在可綜合的同步設計電路中,在一個時鐘沿第一個觸發器放出數據,此數據在另一個時鐘沿(通常是接下來的那個時鐘沿)被第二個觸發器接收到。如果這兩個時鐘沿(發出數據的時鐘沿和接收數據的時鐘沿)是同一個時鐘源放出的,則在理想狀態下,兩個時鐘沿相差一個時鐘周期。但是由于兩個觸發器的時鐘路徑的不同,路徑上的延時會有一定的差別,接收數據的時鐘沿可能早到或晚到,這樣的話就會產生時鐘偏斜。

更多請查看 :

綜合與時序分析

PTPX功耗分析 | Average Power Analysis

PTPX功耗分析 | Peak Power Analysis

實例:用PrimeTime進行時序分析

Primetime,縮寫為PT,是一個獨立的STA工具。它不僅能夠在設計電路所要求的約束條件下檢查時序,還能對設計電路進行全面的靜態時序分析。

(1)讀取設計電路數據

把電路的設計代碼文件讀入PT中,以便PT進行分析。值得注意的是,PT做靜態時序分析的時候只能讀映射過的文件。

read_db-netlist_only.db#dbformat
read_verilog.sv#verilogformat
read_vhdl.svhd#vhdlformat
read_edif.edf#EDIFformat

(2)創建設計電路的約束條件

對設計電路設置約束條件,這樣才能得到接近實際情況的分析結果。通常需要設置相關的時鐘信號和輸入/輸出延時

create_clock-period40-waveform{020}CLK
set_clock_latency-source0.2CLK
set_clock_uncertainty-setup0.5-hold0.5CLK
set_dont_touch_networkCLK
set_ideal_networkCLK

(3)指定環境分析條件

除了一些語法上輕微的差別,PT的環境的設置命令與DC一致

下面是常用的設置環境的命令:

set_wire_load_model-name
set_wire_load_mode
set_operating_conditions
set_load50[all_outputs]
set_input_delay10.0-clock[all_inputs]
set_output_delay10.0-clock[all_outputs]

(4)進行靜態時序分析

report_timing:顯示時序路徑信息
report_timing -delay max -from a -to z2
report_timing -delay min -from a -to z2

上述第一條命令用于建立時間沖突的檢查,第二條命令用于保持時間沖突的檢查。

report_constraint:顯示設計電路的相關約束信息。
report_constraint -all_violators

檢查時序沖突和修正沖突

由于靜態時序工具把整個設計電路打散成時序路徑,分析不同路徑的時序信息,得到建立時間和保持時間的計算結果。而靜態時序分析的精髓就在于判斷和分析這兩個參數的結果。

消除建立、保持時間的沖突方法

消除建立時間的沖突方法如下:

加強約束條件重新綜合設計電路或對產生沖突的時序路徑進行進一步的優化;

通過做ECO(Engineering Change Order)來消除沖突;

如果以上方法都不能產生效果,那可能只好通過修改RTL代碼來實現。

消除保持時間沖突方法如下:

絕大多數的布局布線工具都具有自動消除保持時間沖突的功能,可以通過這些工具來實現;

如果工具不能實現的話,可以在產生沖突的時序路徑上通過ECO添加緩沖器邏輯,使得數據到達的時間符合保持時間的檢查,以此消除沖突。

統計靜態時序分析

靜態時序分析很久以來都被看作是百萬門級芯片時序分析的基本方法及設計完成的檢驗。然而,隨著深亞微米技術進一步下降到90 nm及其以下的線寬,設計者在進行靜態時序分析時面臨著太多的不確定性。

用統計表態時序分析(SSTA,Statistical Static Timing Analysis)的方法有可能估計出許多不確定的現象,幫助設計者精調設計,減少不必要的過度設計,使得設計更可靠,進而提高良率。

傳統的時序分析的局限

制程變異的來源有很多,主要包括每批晶圓的差異、晶圓與晶圓間的差異、裸片間的差異,以及裸片上的差異等。

將電路置于最好條件(Best Case)、最壞條件(Worst Case)等多種情況下進行分析,但是對于晶片上的制程變異卻無能為力。因為在最壞條件分析時,靜態時序分析總是假定一個晶圓上的電路同時都處于最壞情況下,而實際上,同一個晶圓上的電路不可能同時都處于最壞的條件下(這可由分析版圖或者工藝得來)。

在一個芯片上不同位置上畫了兩個完全一樣的MOS管,制造出來后,兩只MOS管的性能很難保證完全一樣。當工藝在90 nm以下時,誤差會高達20%~30%。傳統式的靜態時序分析是將芯片上所有器件按同一個工藝及工作條件下的時間路徑上的延時加起來,因而傳統式的靜態時序分析對于延遲的估計過于悲觀。

統計靜態時序分析的概念

在靜態時序分析中,信號的到達時間和門延遲都是確定的數值。

在統計靜態時序分析中,當工藝參數的偏差用隨機變量建模后,作為工藝參數函數的門延遲、互連線延遲和門輸入端信號的到達時間自然也需要用帶有概率分布的隨機變量來描述。

統計靜態時序分析的步驟

首先,要有用于統計靜態時序分析的標準單元庫。

通過統計靜態時序分析,找出合適的時序窗(Timing Window),在此窗中,良率可以達到最高。

總之,統計靜態時序分析通過對制程變異進行恰當的建模,更好地解決了延遲的不確定性問題,避免了過度的余量,提高了設計的性能及制造的良品率。

審核編輯:郭婷

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 集成電路
    +關注

    關注

    5389

    文章

    11574

    瀏覽量

    362314
  • 觸發器
    +關注

    關注

    14

    文章

    2000

    瀏覽量

    61221

原文標題:靜態時序分析

文章出處:【微信號:數字ICer,微信公眾號:數字ICer】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    英諾達發布全新靜態驗證產品,提升芯片設計效率

    了重要一步,將為中國芯片產業的發展注入新的活力。 靜態驗證作為一種業界普遍使用的驗證方法,通過對設計的源代碼進行深入分析,能夠發現設計中的潛
    的頭像 發表于 12-24 16:53 ?355次閱讀

    根據ADS1292R Data sheet組了一個前端電路,請問要如何測試電路的正確性?

    我根據ADS1292R Data sheet 自己組了一個前端電路,請問要如何測試電路的正確性?
    發表于 12-16 06:27

    ADS5282如何通過其他方式驗證寄存器寫入的正確性

    word. 測試結果: (1)差分數據對N端無變化 (2)差分P端輸出300多mv電壓,差分N端輸出100多mv電壓 另外,ADS5282是只寫芯片,如何通過其他方式驗證寄存器寫入的正確性
    發表于 11-18 08:33

    電腦如何直接使用rs232串口控制PGA460?如何檢測回復的數據的正確性

    電腦直接使用rs232串口控制PGA460,該怎么連接?怎樣檢測回復的數據的正確性
    發表于 10-11 06:12

    超高速數據采集系統的時序設計與信號完整分析

    電子發燒友網站提供《超高速數據采集系統的時序設計與信號完整分析.pdf》資料免費下載
    發表于 09-20 11:34 ?0次下載

    淺談邏輯分析儀的技術原理和應用領域

    故障原因,并進行故障排除。 系統設計驗證:在數字系統設計過程中,使用邏輯分析儀可以實時驗證設計的正確性通過捕獲和
    發表于 09-12 15:04

    Lint靜態驗證工具如何助力IC設計

    靜態驗證方法實現了將原本在仿真、綜合、布局布線階段出現的問題移動到RTL階段進行檢測和分析,幫助IC設計者在早期發現和診斷設計缺陷,縮短芯片整體開發周期,降低成本。
    的頭像 發表于 09-03 10:15 ?736次閱讀
    Lint<b class='flag-5'>靜態</b><b class='flag-5'>驗證</b>工具如何助力IC設計

    時序邏輯電路故障分析

    時序邏輯電路的主要故障分析是一個復雜而重要的課題,它涉及電路的穩定性、可靠以及整體性能。以下是對時序邏輯電路主要故障的全面分析,旨在幫助理
    的頭像 發表于 08-29 11:13 ?1018次閱讀

    如何保障光伏發電裝置的安全和適用

    確保光伏發電裝置安全和質量高標是重點。安裝正確性驗證、系統性能檢查及持續能源輸出確認是基本要求。SEAWARDPV200PV200測試儀提供高效測試及診斷,支持無線NFC連接pvmobileAndroid應用程序進行數據傳輸和即時分析
    的頭像 發表于 08-01 15:15 ?277次閱讀
    如何保障光伏發電裝置的安全<b class='flag-5'>性</b>和適用<b class='flag-5'>性</b>

    FPGA 高級設計:時序分析和收斂

    的綜合、映射、布局和布線,以減小邏輯和布線延時,從而提高 工作頻率。 2、獲得正確時序分析報告 幾乎所有的 FPGA 設計平臺都包含靜態時序
    發表于 06-17 17:07

    頻譜分析儀的分類

    這類分析儀可以在非常短的時間內捕捉寬帶的信號,并以高速率提供精細的頻譜分析。它們通常用于驗證無線系統的正確性、檢測干擾源和跟蹤無線信號。實時頻譜分析
    的頭像 發表于 05-08 15:32 ?559次閱讀

    電路仿真的重要

    設計驗證與預測:電路仿真能夠模擬電路在不同條件下的運行狀況,從而驗證設計的正確性和可行。這有助于工程師在設計階段就發現和解決潛在的問題,避免在實際制造過程中才發現錯誤。此外,
    的頭像 發表于 03-29 14:17 ?1076次閱讀

    fpga原型驗證流程

    FPGA原型驗證流程是確保FPGA(現場可編程門陣列)設計正確性和功能的關鍵步驟。它涵蓋了從設計實現到功能驗證的整個過程,是FPGA開發流程中不可或缺的一環。
    的頭像 發表于 03-15 15:05 ?1622次閱讀

    fpga仿真是什么

    FPGA仿真是一種驗證FPGA設計正確性的過程,主要用來分析設計電路邏輯關系的正確性。在FPGA設計中,仿真測試是把FPGA當作一個功能芯片,給一些輸入信號,再觀測輸出信號,看輸出信號
    的頭像 發表于 03-15 13:59 ?1534次閱讀

    華為云盤古大模型通過金融大模型標準符合驗證

    近日,在中國信通院組織的可信AI大模型標準符合驗證中,華為云的盤古大模型表現出色,成功通過了金融大模型標準的符合驗證,并榮獲優秀級(4+
    的頭像 發表于 03-05 10:12 ?657次閱讀
    主站蜘蛛池模板: 久色视频网| 国产亚洲美女精品久久久2020| 校花爽好大快深点h| 强奷表妺好紧2| 年轻的母亲4线在线观看完整| 久久综合亚洲色hezyo| 京香在线播放| 灰原哀被啪漫画禁漫| 狠狠国产欧美在线视频| 果冻传媒2021精品影视| 国内精品偷拍在线观看| 国内卡一卡二卡三免费网站| 国产午夜精品AV一区二区麻豆| 国产精品高潮AV久久无码| 国产精品久久久久久久人热| 国产成人综合网在线观看| 国产精品久久国产三级国不卡顿| 刺激一区仑乱| 高h浪荡文辣文神奇宝贝| 国产AV99激情久久无码天堂| 国产a视频视卡在线| 国产精品JIZZ在线观看A片| 国产精品1区在线播放| 国产午夜亚洲精品理论片八戒| 国产中文在线| 久久国产免费观看精品1| 快穿之H啪肉| 欧美18精品久久久无码午夜福利| 欧美一区二区影院| 三级黄色片免费观看| 无人在线观看免费高清视频播放| 性色AV一区二区三区咪爱四虎 | 色妺妺免费影院| 色偷偷网址| 亚洲国产高清在线| 亚洲中文有码字幕日本| 最新国产三级在线不卡视频| caoporen超碰在线视频| 国产成人精品s8p视频| 极品色αv影院| 男生射女生|